串行扫描显示电路设计.docx

串行扫描显示电路设计.docx

ID:53302507

大小:14.36 KB

页数:5页

时间:2020-04-03

串行扫描显示电路设计.docx_第1页
串行扫描显示电路设计.docx_第2页
串行扫描显示电路设计.docx_第3页
串行扫描显示电路设计.docx_第4页
串行扫描显示电路设计.docx_第5页
资源描述:

《串行扫描显示电路设计.docx》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验六串行扫描显示电路设计一、实验目的1.通过用AHDL语言设计串形扫描显示电路进一步掌握使用AHDL方法。2.通过用VHDL语言设计串形扫描显示电路进一步掌握使用VHDL方法。3.熟悉使用CPLD/FPGA实验箱的数码管进行显示。二.实验仪器1.PC机一台2.KHF-1/KHF-2/KHF-3/KHF-4/KHF-5CPLD/FPGA实验开发系统一套。三、实验要求1.预习串行扫描显示的原理2.复习教材相关内容。3.用硬件描述语言进行电路设计。四、实验内容及实验步骤1.串形扫描显示电路设计(1)AHDL设计输入。参考程序如下:

2、Subdesigncxsm(inclk:INPUT;----定义输入输出out[7..0],b[3..0]:OUTPUT;)VARIABLE-----定义变量d[3..0],js[21..0],f:DFF;BEGIN(js[],f).clk=inclk;ifjs[]==999999then-----分频模块js[]=0;f=!f;elsejs[]=js[]+1;f=f;endif;(d[].prn,d[].clrn)=VCC;-----扫描输出d[].clk=f;d[]=d[]+1;TABLE-----段码转换模块d[].q=

3、>out0,out1,out2,out3,out4,out5,out6,b[];H"0"=>1,1,1,1,1,1,0,1;-----0H"1"=>0,1,1,0,0,0,0,2;-----1H"2"=>1,1,0,1,1,0,1,4;-----2H"3"=>1,1,1,1,0,0,1,8;-----3H"4"=>0,1,1,0,0,1,1,1;-----4H"5"=>1,0,1,1,0,1,1,2;-----5H"6"=>1,0,1,1,1,1,1,4;-----6H"7"=>1,1,1,0,0,0,0,8;-----7H"

4、8"=>1,1,1,1,1,1,1,1;-----8H"9"=>1,1,1,1,0,1,1,2;-----9H"a"=>1,1,1,0,1,1,1,4;-----aH"b"=>0,0,1,1,1,1,1,8;-----bH"c"=>1,0,0,1,1,1,0,1;------cH"d"=>0,1,1,1,1,0,1,2;------dH"e"=>1,0,0,1,1,1,1,4;------eH"f"=>1,0,0,0,1,1,1,8;-------fENDTABLE;out7=VCC;END;(2)用VHDL设计,示例如下:l

5、ibraryieee;-------调用库useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitytcxis-------实体说明port(inclk:instd_logic;-------输入输出定义outa:outstd_logic_vector(6downto0);outb:outstd_logic_vector(3downto0));endtcx;architecturearth_tcxoftcxis--------结构体定义signalma:st

6、d_logic_vector(1downto0);signalmb:std_logic_vector(3downto0);signalfp:std_logic_vector(23downto0);signalf:std_logic;beginprocess(inclk)---------进程说明beginif(inclk'eventandinclk='1')then---------分频模块iffp=4999999thenfp<="000000000000000000000000";f<=notf;elsefp<=fp+1;e

7、ndif;endif;endprocess;process(f)---------扫描输出模块beginif(f'eventandf='1')thenma<=ma+1;mb<=mb+1;endif;endprocess;withmaselectoutb<="0001"when"00","0010"when"01","0100"when"10","1000"whenothers;withmbselect---------段码转换模块outa<="0110000"when"0001",--1"1101101"when"0010",

8、--2"1111001"when"0011",--3"0110011"when"0100",--4"1011011"when"0101",--5"1011111"when"0110",--6"1110000"when"0111",--7"1111111"when"1000",

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。