串行扫描显示电路设计

串行扫描显示电路设计

ID:12065594

大小:35.00 KB

页数:3页

时间:2018-07-15

串行扫描显示电路设计_第1页
串行扫描显示电路设计_第2页
串行扫描显示电路设计_第3页
资源描述:

《串行扫描显示电路设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、串行扫描显示电路设计一.实验目的1.通过用VHDL语言设计串形扫描显示电路进一步掌握使用VHDL方法。2.熟悉使用CPLD/FPGA实验箱的数码管进行显示。二.实验仪器1.PC机一台2.KHF-1/KHF-2/KHF-3/KHF-4/KHF-5CPLD/FPGA实验开发系统一套。三、实验要求1.预习串行扫描显示的原理2.复习教材相关内容。3.用硬件描述语言进行电路设计。四、实验内容及实验步骤1.串形扫描显示电路设计原理输入时钟inlck为50Mhz,经分频为1hz,再进行0—F范围计数,计数的结

2、果分别在seg3~seg10这8个串行数码管显示;8个数码管受74138译码器的控制;74138Fpga模块Inclk~Pin183A~p180B~186C~187Abcdefga,b,c,d,e,f,g,p段-------189,190,191,192,193,195,196,1972.编译。3.分配管脚。4.编译。5.启动下载软件进行下载。:五.实验代码libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;

3、entitytcxisport(inclk:instd_logic;outb:outstd_logic_vector(7downto0);outa:outstd_logic_vector(2downto0));endtcx;architecturearch_tcxoftcxissignalma:std_logic_vector(2downto0);signalmb:std_logic_vector(3downto0);signalfp:std_logic_vector(24downto0);si

4、gnalf:std_logic;beginprocess(inclk)beginif(inclk'eventandinclk='1')theniffp=24999999thenfp<="0000000000000000000000000";f<=notf;elsefp<=fp+1;endif;endif;endprocess;process(f)beginif(f'eventandf='1')thenma<=ma+1;mb<=mb+1;endif;endprocess;outa<=ma;with

5、mbselectoutb<="10110000"when"0001",--1"11101101"when"0010",--2"11111001"when"0011",--3"10110011"when"0100",--4"11011011"when"0101",--5"11011111"when"0110",--6"11110000"when"0111",--7"11111111"when"1000",--8"11111011"when"1001",--9"11110111"when"1010"

6、,--A"10011111"when"1011",--B"11001110"when"1100",--C"10111101"when"1101",--D"11001111"when"1110",--E"11000111"when"1111",--F"11111110"whenothers;--0endarch_tcx;六.实验心得通过了解到用VHDL语言设计串形扫描显示电路进一步掌握使用VHDL方法。熟悉使用CPLD/FPGA实验箱的数码管进行显示。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。