一位全减器的设计.docx

一位全减器的设计.docx

ID:52784573

大小:235.04 KB

页数:6页

时间:2020-03-30

一位全减器的设计.docx_第1页
一位全减器的设计.docx_第2页
一位全减器的设计.docx_第3页
一位全减器的设计.docx_第4页
一位全减器的设计.docx_第5页
资源描述:

《一位全减器的设计.docx》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、EDA技术实用教程课题报告课题名称:一位全减器的设计院系:专业:电子信息工程组员:设计流程:一、一位半减器的设计一位半减器程序如下;其中x,y是输入,diff是输出差,s_out是借位输出,sub_in为借位输入。libraryieee;useieee.std_logic_1164.all;entityh_suberisport(x,y:instd_logic;diff,s_out:outstd_logic);endh_suber;architecturehdlarchofh_suberisbeginprocess(x,y)begindif

2、f<=xxory;s_out<=(notx)andy;endprocess;endhdlarch;半减器逻辑表达式:半减器真值表:输入输出xydiffs_sout0000011110101100半减器波形图如下:半减器封装图:二、一位全减器的设计一位全减器设计结构图:sub_in为借位输入,clk是输入延迟信号用来消除仿真图中出现的毛刺现象一位全减器逻辑表达式:一位全减器真值表:输入输出xysub_indiffersub_out00000100100100111011101000111111101一位全减器波形图:一位全减器封装图:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。