一位全减器实验报告材料

一位全减器实验报告材料

ID:28834092

大小:60.50 KB

页数:3页

时间:2018-12-14

一位全减器实验报告材料_第1页
一位全减器实验报告材料_第2页
一位全减器实验报告材料_第3页
资源描述:

《一位全减器实验报告材料》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实用标准文案南昌大学实验报告学生姓名:蔡斌学号:6100208099专业班级:电子083班实验类型:□验证□综合□设计□创新实验日期:2010.10.14实验成绩:实验一一位二进制全减器的设计一、实验目的(1)掌握QuartusII的VHDL文本设计的全过程;熟练和掌握EDA设计流程;熟悉简单组合电路的设计,掌握系统仿真,学会分析硬件测试结果。二、实验内容与要求用文本方法实现半减器,再利用半减器完成全减器的设计,熟悉层次设计概念;给出此项设计的仿真波形;用发光管指示显示结果。三、设计原理(1)半减器真值表:XYDiffS_out0000010110001100(表中Diff表

2、示本位向高位的借位,S_out表示本位)(2)全减器真值表:ainbinSub_indiffrSub_out0000000111010110110110010101001100011111(表中Sub_in表示低位向本位的借位,diffr表示本位输出,Sub_out表示本位向高位借位)四、实验程序(1)对半减器进行描述:(独立编写)精彩文档实用标准文案libraryieee;useieee.std_logic_1164.all;entityh_suberisport(x,y:instd_logic;diff,s_out:outstd_logic);endentityh_sub

3、er;architectureoneofh_suberisbegindiff<=xxory;s_out<=(notx)andy;endarchitectureone;(2)对全减器进行原理图编辑:五、实验步骤1.建立工作库文件夹和编辑设计文件(1)打开QuartusII,按提示在D盘下建立一个工程文件夹;(2)建立新的VHDL文件,再打开的页面下输入半减器描述语言。2.编译过程(1)输入完程序之后选择“保存”,然后processing—analyzecurrentfiles进行语法检查和分析。(2)逐个编译无错之后进行全程编译processing—start—compilat

4、ion。3.系统仿真(1)建立新的波形文件(2)在波形编辑器窗口添加节点(3)通过Edit->EndTime来设定仿真结束时间(4)点击save保存(5)通过Tools下的SimulatorTools项进行仿真,然后观察输出波形。4.引脚锁定(1)通过Assignment->AssignmentEditor->Pin查找到所有的引脚(2)选择各个输入输出信号来锁定到不同引脚,进行全程编译。5.编程下载(1)选择Tools->Programmer菜单,点击HardwareSetup窗口完成硬件设置(2)点击Start开始编程下载六、仿真波形分析精彩文档实用标准文案引脚的锁定:a

5、in锁定为引脚53,bin锁定为引脚54,Sub_in锁定为引脚56,Sub_out锁定为引脚167,diffr锁定为引脚168。七、实验结果由编程下载之后实验箱上显示的数据与波形图完全一致,符合全减器真值表。八、实验体会通过本实验,在最先设计一位全减器的时候又熟悉了以前学过的数字电路逻辑设计相关知识;试验中主要出现的问题就是波形仿真时出现毛刺,经过老师的指导,让三个输入尽量不在同一时间或相隔较近时间内改变,就解决了这个问题。另外就是在编辑原理图的时候,把自己编的半减器生成逻辑器件这儿出现了问题,在老师的指导下完成了,通过这个实验,我已经比较熟悉实验的操作流程和相关的功能菜单

6、选项,相信以后的实验我在应用这个软件上会得心应手。精彩文档

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。