EDA技术应用 龚江涛电子教案项目12电子课件..ppt

EDA技术应用 龚江涛电子教案项目12电子课件..ppt

ID:51963679

大小:2.40 MB

页数:56页

时间:2020-03-26

EDA技术应用 龚江涛电子教案项目12电子课件..ppt_第1页
EDA技术应用 龚江涛电子教案项目12电子课件..ppt_第2页
EDA技术应用 龚江涛电子教案项目12电子课件..ppt_第3页
EDA技术应用 龚江涛电子教案项目12电子课件..ppt_第4页
EDA技术应用 龚江涛电子教案项目12电子课件..ppt_第5页
资源描述:

《EDA技术应用 龚江涛电子教案项目12电子课件..ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、《EDA技术应用》课程课件项目十二DDS信号源的设计一、项目描述了解数字频率合成(DDS)工作原理。掌握DDS合成器三个主要模块:频率控制寄存器、高速相位累加器和正弦查找表。本项目是采用ALTERA公司的Cyclone系列FPGA芯片利用直接数字频率合成的原理,实现一个频率、幅度、相位可调的正弦波信号发生器。1.项目任务一、项目描述2.项目目标序号类别目标一知识点了解DDS的实现原理了解DDS系统功能的各种要求掌握实现DDS系统的各模块功能掌握VHDL语言和C语言的协同设计原理掌握调用LPM模块的方法二技能

2、会利用LPM模块进行设计能使用VHDL语言和C语言进行协同设计能使用QuartusII软件的对设计电路进行功能仿真使用QuartusII软件下载设计文件到学习开发板学习开发板的调试三职业素养学生的沟通能力及团队协作精神良好的职业道德质量、成本、安全、环保意识二、项目资讯可编程逻辑器件概述FPGA与CPLDPLD开发软件可编程逻辑器件的设计应用流程数字频率合成(DDS)工作原理LPM模块的调用方法(前四项参见专题课件相应内容)六项内容二、项目资讯包括设计准备、设计输入、设计处理和器件编程四个步骤相应的功能仿真

3、(前仿真)、时序仿真(后仿真)和器件测试三个设计验证过程。可编程逻辑器件的设计应用流程三、项目分析1.信号产生的方法RC振荡器:频率稳定度不高LC振荡器:频率稳定度不高石英晶体振荡器:频率稳定度高,但频率调节困难三、项目分析1.信号产生的方法频率稳定度高,但频率很难连续步进三、项目分析1.信号产生的方法DDS(DirectDigitalSynthesizer)即直接数字合成器,是一种新型的频率合成技术,具有较高的频率分辨率,可以实现快速的频率切换,并且在改变频率的同时能够保持相位的连续,很容易实现频率、相位

4、和幅值的数控调制。因此,在现代电子系统及设备的频率源设计中,尤其在通信领域,DDS应用越来越广泛。三、项目分析对于一个频率为的正弦信号,可以用下式来描述:2.直接数字频率合成的原理其相位:将正弦信号的相位和幅值均转化为数字量用频率为的基准时钟对正弦信号进行抽样将2π切割成2N等份作为最小量化单位,从而得到△θ的数字量M为:三、项目分析2.直接数字频率合成的原理当M取1时,可以得到输出信号的最小频率步进为:三、项目分析2.直接数字频率合成的原理由于正弦函数为非线性函数,很难实时计算,一般通过查表的方法来快速获

5、得函数值。DDS正弦信号发生器原理框图:三、项目分析2.直接数字频率合成的原理三、项目分析3.系统设计思路FPGA的技术方案三、项目分析4.技术指标设计要求如下:(1)产生正弦波波形;(2)输出信号频率范围0Hz~4MHz,频率步进间隔为10KHz或100KHz可选;设计4个按键用来控制频率增减,用数码管显示频率值。(3)输出信号幅值范围0~5V(峰—峰值),波形幅值和相位可调。四、项目实施微机一台(WindowsXP系统、安装好QuartusⅡ5.0等相关软件)EDA学习开发板一块USB电源线一条ISP下

6、载线一条。硬件平台准备四、项目实施——1.方案设计四、项目实施——1.方案设计硬件电路详细设计高速D/A转换电路设计FPGA内部逻辑设计滤波电路的设计信号放大电路的设计驱动电路的设计四、项目实施——2.DDS子系统设计DDS子系统参数的确定(1)输出带宽当频率控制字M=1时,输出信号的最低频率为fomin=fclk/2N式中,fclk为系统时钟频率,N为相位累加器的位数。当N取值很大时,最低输出频率可以达到很低,甚至可以认为DDS的最低频率为零频。DDS的最高输出频率由系统时钟频率和一个周期波形采样点数决定

7、。当系统时钟频率为,采样点数为X,则最高输出频率为fomax=fclk/X四、项目实施——2.DDS子系统设计(2)频率稳定度。DDS信号的频率稳定度等同于外部时钟信号的频率稳定度。由于外部时钟信号一般采用晶体振荡器,因此,DDS信号频率可以达到很高的稳定度。(3)频率分辨率。频率分辨率由下式决定:如果参考时钟频率取40MHz,相位累加器位数取32,可求得最小频率步进值为:四、项目实施——2.DDS子系统设计DDS子系统参数的确定(1)系统时钟频率:40MHz;(2)频率控制字的位宽:32位;(3)相位累加

8、器的位宽:32位;(4)波形存储器的地址位宽:10位;(5)波形存储器的数据位宽:10位。最小频率步进值四、项目实施——2.DDS子系统设计DDS子系统顶层原理图四、项目实施——2.DDS子系统设计频率字设定及显示模块ADD_SUB_8BIT模块功能:实现8位的加减法计算,每来一个脉冲模块自加一或自减一。模块通过输出控制数码管将频率值显示出来。本模块包括一个8位的LPM_ADD_SUB(加减模块)和8位的LPM_

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。