EDA技术实用教程PPT课件-第3章_VHDL设计初步.ppt

EDA技术实用教程PPT课件-第3章_VHDL设计初步.ppt

ID:50781647

大小:874.00 KB

页数:88页

时间:2020-03-14

EDA技术实用教程PPT课件-第3章_VHDL设计初步.ppt_第1页
EDA技术实用教程PPT课件-第3章_VHDL设计初步.ppt_第2页
EDA技术实用教程PPT课件-第3章_VHDL设计初步.ppt_第3页
EDA技术实用教程PPT课件-第3章_VHDL设计初步.ppt_第4页
EDA技术实用教程PPT课件-第3章_VHDL设计初步.ppt_第5页
资源描述:

《EDA技术实用教程PPT课件-第3章_VHDL设计初步.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EDA技术实用教程第3章VHDL设计初步教学内容:3.1组合电路的VHDL描述3.2基本时序电路的VHDL描述3.3计数器的VHDL设计3.4实用计数器的VHDL设计教学要求:通过对VHDL电路示例分析学习,了解用VHDL表达和设计电路的方法,理解VHDL语言现象和语句规则的特点。VHDL的优点*易于设计复杂的、多层次的设计。支持设计库和设计的重复使用*与硬件独立,一个设计可用于不同的硬件结构,而且设计时不必了解过多的硬件细节。*有丰富的软件支持VHDL的综合和仿真,从而能在设计阶段就能发现设计中的Bug,缩短设计时间,降低成本。*更方便地向ASIC过渡*VHDL

2、有良好的可读性,容易理解。VHDL与计算机语言的区别*运行的基础计算机语言是在CPU+RAM构建的平台上运行VHDL设计的结果是由具体的逻辑、触发器组成的数字电路*执行方式计算机语言基本上以串行的方式执行VHDL在总体上是以并行方式工作*验证方式计算机语言主要关注于变量值的变化VHDL要实现严格的时序逻辑关系3.1组合电路的VHDL描述3.1.12选1多路选择器及其VHDL描述1通道选择控制信号端数据通道输入端口数据输出端ENTITYmux21aISPORT(a,b:INBIT;s:INBIT;y:OUTBIT);ENDENTITYmux21a;ARCHITECT

3、UREoneOFmux21aISBEGINy<=aWHENs='0'ELSEb;ENDARCHITECTUREone;【例3-1】2选1多路选择器s=0y=as=1y=b3.1.12选1多路选择器及其VHDL描述12选1多路选择器s=0y=as=1y=b(1)以关键词ENTITY引导,ENDENTITY…结尾的语句部分,称为实体。mux21a实体2选1多路选择器的VHDL描述功能:描述设计模块的输入/输出信号或引脚,并给出设计模块与外界的接口。实体类似一个“黑盒”,实体描述了“黑盒”的输入输出口。port:*在层次化设计时,Port为模块之间的接口*在芯片级,则代

4、表具体芯片的管脚(2)以关键词ARCHITECTURE引导,ENDARCHITECTURE…结尾的语句部分,称为结构体。图3-2mux21a结构体功能:通过若干顺序语句和并行语句来描述设计实体的逻辑功能(行为描述)或内部电路结构(结构描述),从而建立设计实体输出与输入之间的关系。一个设计实体可以有多个结构体结构体有三种描述方式*行为描述(behavioral):高层次的功能描述,不必考虑在电路中到底是怎样实现的。*数据流描述(dataflow):描述输入信号经过怎样的变换得到输出信号*结构化描述(structural):类似于电路的网络表,将各个器件通过语言的形式

5、进行连接,与电路有一对应的关系,一般用于大规模电路的层次化设计时。结构体(ARCHITECTURE)进程或其它并行结构实体(ENTITY)配置(CONFIGURATION)库、程序包设计实体结构设计实体:一个完整的、可综合的VHDL程序设计构建VHDL程序设计基本结构:结构体三种描述方式的比较描述方式优点缺点适用场合结构化描述连接关系清晰,电路模块化清晰电路不易理解、繁琐、复杂电路层次化设计数据流描述布尔函数定义明白不易描述复杂电路,修改不易小门数设计行为描述电路特性清楚明了进行综合效率相对较低大型复杂的电路模块设计1.实体表达——描述电路器件端口构成、端口类型(

6、信号流动方向和方式)和信号属性【例】ENTITYe_nameISPORT(p_name:port_mdata_type;...p_namei:port_midata_type);ENDENTITYe_name;VHDL相关语法说明语法格式entity实体名is[port()端口说明;]endentity[实体名];注意:关键词2.实体名3.端口语句PORT和端口信号名实体名实际上是器件名,最好根据相应的电路功能确定;实体名必须与文件名相同,否则无法编译;实体名不能用工具库中定义好的元件名;实体名不能用中文,也不能用数字开头。端口语句(port)说明:以port为引

7、导,并在语句结尾处加分号“;”port(端口信号名:端口模式数据类型名[:=初始值];…);4.端口模式“IN”、“OUT”、“INOUT”、“BUFFER”输入(In):从外部输入至实体;单向端口;输出(Out):从实体输出至外部;单向端口;双向(Inout):可以从外部输入至实体;也可以从实体输出至外部;双向端口;可代替所有其他模式,但降低了程序的可读性,一般用于与CPU的数据总线接口;缓冲(Buffer):可以从实体输出至外部;也可以从端口回读该输出值至实体;不可以从外部输入至实体;单向端口(伪双向端口);允许该管脚名作为一些逻辑的输入信号。VHDL语言中数

8、据对象(信

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。