分频器的VHDL设计.doc

分频器的VHDL设计.doc

ID:48594415

大小:227.50 KB

页数:5页

时间:2020-02-26

分频器的VHDL设计.doc_第1页
分频器的VHDL设计.doc_第2页
分频器的VHDL设计.doc_第3页
分频器的VHDL设计.doc_第4页
分频器的VHDL设计.doc_第5页
资源描述:

《分频器的VHDL设计.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、洛阳理工学院实验报告系部计算机与信息工程系班级学号姓名课程名称PLD原理与应用实验日期2012.11.12实验名称实验四分频器的VHDL设计成绩实验目的:1、练习应用QUARTUSⅡ开发环境2、练习文件的建立,改编,编程,调试,修改,仿真。3、学会设计奇数、偶数、半整数分频器。实验条件:装有QUARTUSⅡ软件的电脑实验内容与步骤:一、实验内容:练习占空比为50%的任意奇数次分频半整数分频偶数次分频。二、实验步骤:1:建立工作文件夹和编译设计文件。(1)新建一个文件夹。首先利用Windows资源管理器,在EDA默认

2、的工作库(work)中新建一个文件夹命名CNT10。(2)输入源程序。打开QUARTUSⅡ,选择File-New命令。在新建窗口中的DesignFile栏选择编译文件的语言类型即VHDLFile选项。然后再VHDL文本编译奇数次分频:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT10ISPORT(CLK:INSTD_LOGIC;K_OR,K1,K2:OUTSTD_LOGIC);END;ARCHITECTU

3、REBHVOFCNT10ISSIGNALC1,C2:STD_LOGIC_VECTOR(2DOWNTO0);SIGNALM1,M2:STD_LOGIC;BEGINPROCESS(CLK,C1)BEGINIFRISING_EDGE(CLK)THENIF(C1="110")THENC1<="000";ELSEC1<=C1+1;ENDIF;IF(C1="001")THENM1<=NOTM1;ELSIF(C1="100")THENM1<=NOTM1;ENDIF;ENDIF;ENDPROCESS;PROCESS(CLK,C2)

4、BEGINIFFALLING_EDGE(CLK)THENIF(C2="110")THENC2<="000";ELSEC2<=C2+1;ENDIF;IF(C2="001")THENM2<=NOTM2;ELSIF(C2="100")THENM2<=NOTM2;ENDIF;ENDIF;ENDPROCESS;K1<=M1;K2<=M2;K_OR<=M1ORM2;ENDBHV;半整数次分频:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.AL

5、L;ENTITYCNT25ISPORT(CLK:INSTD_LOGIC;K1:OUTSTD_LOGIC);END;ARCHITECTUREBHVOFCNT25ISSIGNALC1,C2:STD_LOGIC_VECTOR(2DOWNTO0);BEGINPROCESS(CLK,C1)BEGINIFRISING_EDGE(CLK)THENIF(C1="100")THENC1<="000";ELSEC1<=C1+1;ENDIF;ENDIF;ENDPROCESS;PROCESS(CLK,C2)BEGINIFFALLING_E

6、DGE(CLK)THENIF(C2="100")THENC2<="000";ELSEC2<=C2+1;ENDIF;ENDIF;ENDPROCESS;K1<="1"WHENC1="000"ORC2="010"ELSE"0";ENDBHV;偶数次分频:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT4ISPORT(CLK:INSTD_LOGIC;K1:OUTSTD_LOGIC);END;ARCHITECTURE

7、BHVOFCNT4ISSIGNALC1:STD_LOGIC_VECTOR(2DOWNTO0);SIGNALM1:STD_LOGIC;BEGINPROCESS(CLK,C1)BEGINIFRISING_EDGE(CLK)THENIF(C1="011")THENC1<="000";ELSEC1<=C1+1;ENDIF;IF(C1="001")THENM1<=NOTM1;ELSIF(C1="011")THENM1<=NOTM1;ENDIF;ENDIF;ENDPROCESS;K1<=M1;ENDBHV;(3)文件存盘。选择

8、File—Saveas命令,找到已经建立的文件夹cnt10,存盘文件名应该与实体名一致,即cnt10.vhd。当出现问句“Doyouwanttocreat····”单击“是”按钮。2:创建工程。(1)建立新工程管理窗口。单击对话框第二栏右侧“···”按钮,找到文件夹d:workcnt10,选中已存盘文件cnt10.vhd,再单击“打开”按钮。(2)将设计

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。