第7章 VHDL语言基础.ppt

第7章 VHDL语言基础.ppt

ID:48254971

大小:1.46 MB

页数:37页

时间:2020-01-18

第7章 VHDL语言基础.ppt_第1页
第7章 VHDL语言基础.ppt_第2页
第7章 VHDL语言基础.ppt_第3页
第7章 VHDL语言基础.ppt_第4页
第7章 VHDL语言基础.ppt_第5页
资源描述:

《第7章 VHDL语言基础.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、3.0前言3.1硬件描述语言概述3.2VHDL程序基本结构3.3VHDL程序主要构件3.4VHDL数据类型3.5运算符3.6VHDL数据对象3.7VHDL基本语句本章目录前言什么是VHDL?VHDL--Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage主要用于描述数字逻辑电路的结构、行为、功能和接口前言举例二路选择器传统描述方式用与门、非门、或门等具体底层器件来组成LIBRARYIEEE;USEIEEE.std_logic_1164.all;ENTITYMUX2ISPOR

2、T(d0,d1:INstd_logic;sel:INstd_logic;q:OUTstd_logic);ENDENTITY;ARCHITECTUREbehavOFMUX2ISBEGINPROCESS(d0,d1,sel)BEGINIFsel=‘0’THENq<=d0;ELSIFsel=‘1’THENq<=d1;ELSEq<=‘Z’;ENDIF;ENDPROCESS;ENDbehav;VHDL描述程序包调用实体描述结构体描述库的调用硬件描述语言特点VHDL特点1.系统硬件描述能力强2.与器件无关,与工艺无关3.IEEE工业标准4.方法灵活,

3、技术齐全5.可读性好VHDL具有硬件特征,而且是并行执行方式。VHDL程序基本结构VHDL程序库的调用程序包的调用实体描述结构体描述LIBRARYIEEE;USEIEEE.std_logic_1164.all;ENTITYMUX2ISPORT(d0,d1:INstd_logic;sel:INstd_logic;q:OUTstd_logic);ENDENTITY;ARCHITECTUREbehavOFMUX2ISBEGINPROCESS(d0,d1,sel)BEGINIFsel=‘0’THENq<=d0;ELSIFsel=‘1’THENq<

4、=d1;ELSEq<=‘Z’;ENDIF;ENDPROCESS;ENDbehav;用于说明设计系统的外部接口信息,具有唯一性描述相应实体的行为、功能或电路结构VHDL程序主要构件1.库库(Library)是编译后数据的集合。常用的库有IEEE库、STD库、WORK库。Example:LIBRARYIEEE;USEIEEE.std_logic_1164.ALL;USEIEEE.std_logic_unsigned.ALL;使IEEE库可见调用IEEE库中的程序包VHDL程序主要构件2.实体实体(ENTITY)包括实体名、类属参数说明、端口说

5、明三部分组成。格式:ENTITY实体名IS[类属参数说明];[端口说明];END[ENTITY][实体名];通常用于说明静态信息GENERIC(常数名:数据类型:=设定值);提供外部接口信息PORT(端口名:端口方向数据类型);不能以数字开头,尽可能表达功能上的含义VHDL程序主要构件端口方向:IN输入OUT输出INOUT双向BUFFER输出缓冲注意:IN不能被赋值;OUT不能作为赋值内容;INOUT和BUFFER不受限制ENTITYmy_designISPORT(d:INstd_logic_vector(15DOWNTO0);clk,r

6、eset,oe:INstd_logic;q:OUTstd_logic_vector(15DOWNTO0);ad:INOUTstd_logic_vector(15DOWNTO0);int:BUFFERstd_logic;as:OUTstd_logic);ENDmy_design;Example:请写出实体描述VHDL程序主要构件3.结构体结构体(ARCHITECTURE)是设计实体的具体描述,指明设计实体的具体行为、所用元件及连接关系。由定义说明和具体功能描述两部分组成。格式:ARCHITECTURE结构体名OF实体号名IS[定义语句]信号

7、(signal);常数(constant);数据类型(type);函数(function);元件(component)等;BEGIN[并行处理语句];END结构体名;注意:定义语句只对本结构体有效。结构体名应有明确意义并行处理是VHDL的特点VHDL程序主要构件Example:半加器LibraryIEEE;Useieee.std_logic_1164.all;Entityhalf_adderisport(X,Y:inbit;sum,c:outbit);endhalf_adder;Architecturedataflowofhalf_add

8、erisbeginsum<=XxorY;c<=XandY;Enddataflow;注意:仿真时波形考虑了延时。libraryieee;useieee.std_logic_1164.all;en

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。