第1章 VHDL语言基础.ppt

第1章 VHDL语言基础.ppt

ID:48244143

大小:211.00 KB

页数:20页

时间:2020-01-18

第1章 VHDL语言基础.ppt_第1页
第1章 VHDL语言基础.ppt_第2页
第1章 VHDL语言基础.ppt_第3页
第1章 VHDL语言基础.ppt_第4页
第1章 VHDL语言基础.ppt_第5页
资源描述:

《第1章 VHDL语言基础.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第1章VHDL语言基础1.1VHDL程序基本结构1.2VHDL程序的实体1.3VHDL程序的结构体一、VHDL程序设计约定语句结构描述中方括号“[]”内的内容为可选内容。对于VHDL的编译器和综合器来说,程序文字的大小写是不加区分的。程序中的注释使用双横线“--”。源程序命名与实体同名(MAX+plusⅡ要求)。1.1VHDL程序基本结构二、VHDL程序设计引例(74LS00的设计)该芯片符合什么规范,是谁生产的,是否大家认可。该芯片有多少管脚,每个管脚是输入还是输出,每个管脚对输入/输出有什么要求。该芯片各管脚之间的关系,以及能完成什么逻辑功能。在使用一

2、个芯片时,至少需要了解三个方面的信息:相应地,使用VHDL设计一个硬件电路时,也至少需要描述三个方面的信息:规范范围,亦即此设计符合某个设计规范,能得到大家的认可,这就是库、程序包使用说明。②硬件电路与外界的接口信号,这就是设计实体的说明。③硬件电路其内部各组成部分的逻辑关系以及整个系统的逻辑功能,这就是该设计实体对应的结构体说明。1.设计思路74LS00芯片由四个2输入与非门组成。因此设计时可先设计一个2输入与非门(图a所示),再由四个2输入与非门构成一个整体—MY74LS00(图b所示)。ABYYABNAND2(a)YABNAND2A1B1U1Y1YA

3、BNAND2A2B2U2Y2YABNAND2A3B3U3Y3YABNAND2A4B4U4Y4MY74LS00A1B1A2B2A3B3A4B4Y1Y2Y3Y4(b)MY74LS00的设计过程示意图2输入与非门NAND2的逻辑描述--IEEE库及其中程序包的使用说明LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;--实体NAND2的说明ENTITYNAND2ISPORT(A,B:INSTD_LOGIC;Y:OUTSTD_LOGIC);ENDENTITYNAND2;--实体NAND2的结构体ART1的说明ARCHITECTUREAR

4、T1OFNAND2ISBEGINY<=ANANDB;ENDARCHITECTUREART1;2.VHDL源程序说明:实体NAND2定义了2输入与非门NAND2的引脚信号A、B(输入)和Y(输出),其结构体ART1描述了输入与输出信号间的逻辑关系(A、B与非后传给Y)。MY74LS00的逻辑描述--IEEE库及其中程序包的使用说明LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;--实体MY74LS00的说明ENTITYMY74LS00ISPORT(A1,B1,A2,B2,A3,B3,A4,B4:INSTD_LOGIC;Y1,Y2

5、,Y3,Y4:OUTSTD_LOGIC);ENDENTITYMY74LS00;说明:根据图b右侧的MY74LS00原理图,实体MY74LS00定义了引脚的端口信号属性和数据类型。--实体MY74LS00的结构体ART2的说明ARCHITECTUREART2OFMY74LS00IS--元件调用声明COMPONENTNAND2ISPORT(A,B:INSTD_LOGIC;Y:OUTSTD_LOGIC);ENDCOMPONENTNAND2;--元件连接说明BEGINU1:NAND2PORTMAP(A=>A1,B=>B1,Y=>Y1);U2:NAND2PORTMA

6、P(A=>A2,B=>B2,Y=>Y2);U3:NAND2PORTMAP(A3,B3,Y3);U4:NAND2PORTMAP(A4,B4,Y4);ENDARCHITECTUREART2;说明:结构体ART2描述的是实体MY74LS00由四个2输入与非门构成的。其中COMPONENT→ENDCOMPONENT语句结构对所要调用的NAND2元件作了声明。整个设计包括两个实体(NAND2和MY74LS00),其中MY74LS00为顶层实体。三、VHDL程序的基本结构库、程序包使用说明结构体(ARCHITECTURE)实体(ENTITY)PORT端口说明结构体说明

7、GENERIC类属说明体实计设结构体功能描述VHDL程序设计基本结构一个相对完整的VHDL程序(或称为设计实体)具有比较固定的结构,即至少应包括三个基本组成部分:库、程序包使用说明。实体说明。实体对应的结构体说明。1.2VHDL程序的实体实体是一个表层设计单元,其功能是对设计实体与外部电路进行接口描述。它规定了设计单元的输入/输出接口信号或引脚,是设计实体经封装后对外的一个通信界面。一、实体的组成ENTITY实体名IS[GENERIC(类属表);][PORT(端口表);]实体说明部分;[BEGIN实体语句部分;]END[ENTITY][实体名];二、类属说

8、明类属(GENERIC)参量是一种端口界面常数,常以一种说明的形式

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。