用verilog HDL语言设计可逆计数器。

用verilog HDL语言设计可逆计数器。

ID:47519851

大小:30.50 KB

页数:3页

时间:2020-01-12

用verilog HDL语言设计可逆计数器。_第1页
用verilog HDL语言设计可逆计数器。_第2页
用verilog HDL语言设计可逆计数器。_第3页
资源描述:

《用verilog HDL语言设计可逆计数器。》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、西安邮电学院实验报告书系部名称:电子工程学院学生姓名:xxx专业名称:xxxx班级:xxxx实验时间:2011年11月17日一、实验目的:1、掌握可逆计数器的工作原理。2、学习用verilogHDL语言设计可逆计数器。二、实验设备:计算机一台,TD-EDA实验箱一台三、实验内容:用verilogHDL语言设计可逆计数器并进行仿真、引脚分配及下载到电路开发板进行功能验证。四、实验程序:modulekeni8(clk,enable,sum,col);inputclk,enable;output[7:

2、0]sum;reg[7:0]sum;outputcol;regcol;always@(posedgeclk)if(enable==1)sum=sum+1;elseif(enable==0)sum=sum-1;elseif(sum>=8)beginsum=0;col=1;endendmodule五、运行结果:五、实验心得:1、在编程过程中,需小心谨慎,特别是注意标点符号的标注。2、实验结果有一定的延时。3、实验前需对TD-EDA实验箱进行了解,这样可以使实验更有效率。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。