盐城工学院eda实验指导书3-4

盐城工学院eda实验指导书3-4

ID:46259424

大小:231.90 KB

页数:13页

时间:2019-11-22

盐城工学院eda实验指导书3-4_第1页
盐城工学院eda实验指导书3-4_第2页
盐城工学院eda实验指导书3-4_第3页
盐城工学院eda实验指导书3-4_第4页
盐城工学院eda实验指导书3-4_第5页
资源描述:

《盐城工学院eda实验指导书3-4》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实验三序列信号检测器的设计一、实验目的:1、理解序列信号检测器的工作原理;2、掌握原理图和文本输入的混合设计输入方法;3、理解状态划分;4、掌握状态机程序的编写方法;二、实验原理:序列检测器是时序数字电路中非常常见的设计之一。它的主要功能是:将一个指定的序列从数字码流中识别出来。接下来的设计就是针对“011”这个序列的检测器。设input为数字码流输入,output为检出标记输出,高电平表示“发现指定序列”,低电平表示“没有发现指定的序列”。设输入的码流为u001101101111011111..・”,在时钟2〜4中,码流input里出现指定序列“011”,对应输出output

2、在第4个时钟变为高电平“1”,表示发现指定以此类推。序列发生器模块采用的是M(n=4)序列发生器,详细可以参考《EDA技术与应用》一书的4.4团队协作及逻辑锁定。三、实验内容(1)最终的顶层设计原理图如下:左侧的四个D触发器组成的电路为M序列信号发生器模块,它可以产生15位随机的二进制码流。发生器产生的二进制码流每隔15个吋钟周期重复出现一次。详细的可以在最终的时序仿真图中看出来。右侧的get_str模块为检测模块,给予状态机的结构编写的,具体如下:libraryieee;useieee.std_logic_1164.dll;cntitygetscrisport(elk:ins

3、td_logic;input:instd_logic;reset:instdlogic;serout:outstd_logic_vector(1downto0);output:outstd_logic);endcntity;architecturertlofget_seris--Buildanenumeratedtypeforthestatemachinetypestate_typeis(sO,si,s2,s3);一一Registertoholdthecurrentstatesignalstate:state_type;beginserout<=CO'&input);--Log

4、ictoadvancctothenextstateprocess(elk,reset)beginifreset='1’thenstate<=sO;elsif(rising_cdgc(elk))thencasestateiswhensO二〉ifinput='O'thenstate<=si;elsestate〈二sO;endif;whensl=>ifinput='rthenstate<=s2;elsestate<=si;endif;whens2=>ifinput='rthenstate〈二s3;elsestate<=si;endif;whens3二〉ifinput二'rthenst

5、ate<=sO;elsestate〈二si;endif;endcase;endif;endprocess;--Outputdependssolelyonthecurrentstateprocess(state)begincasestateiswhensO=>output〈二,0,;whensi=>output<=,o,;whens2=>output〈二,0,;whens3二〉output<=,1,;endcase;endprocess;endrtl;(1)理解状态机请根据上图对照get_str程序理解检测“OIL序列的基本编程思路。图屮的sO为复位状态,si为检测到首个©状态,

6、s2为检测到“01”状态,S3为检测到一个“011”序列的状态。(3)完成(1)所示的顶层原理图并进行全编译。顶层原理图:GQuartrnNM-tk-<*AlMnAaV0e«ktof^(0A?9«tat«q/9«t_Mq•get.MqEMM*jr»Hd护“皿血riBCMnoIM盘U“p母eOdoms.tnaotiH>"XF、二巧~二DOaO♦fccwr火pa■乞ao•Il■■・<>*<>■・urrr、、do、=网%•■rDea02(a«t«•dkloroutflmexjOUtlXM*fS»O9P2203CSuccetsfullylaunchedX«tiveLinksiaule

7、tioa(qu«rtus_sh-t"cz/edatechtMlo^y/qutfrtas/ccaoDQn/tcl/int^rMl/rMtivelink/qMtiwsi*・tcX・-«Hte_netXist•9et_se^_l_1200«v_l5c-BXo

8、P2203

9、/get_se

10、_natlvelintB9iaul«

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。