陕西理工学院EDA实验指导书

陕西理工学院EDA实验指导书

ID:46221388

大小:1.67 MB

页数:41页

时间:2019-11-21

陕西理工学院EDA实验指导书_第1页
陕西理工学院EDA实验指导书_第2页
陕西理工学院EDA实验指导书_第3页
陕西理工学院EDA实验指导书_第4页
陕西理工学院EDA实验指导书_第5页
资源描述:

《陕西理工学院EDA实验指导书》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、EDA技术卖验指导书陕西理工学院削子与信息工程糸二OO四年一月EDA(ElectronicDesignAutomation:电子设计口动化)技术是现代电子工程领域的一门新技术•它提供了基于计算机和信息技术的电路系统设计方法.EDA技术的发展和推广应用极大地推动了电子工业的发展.EDA教学和产业界的技术推广是当今世界的一个技术热点,EDA技术是现代电子工业中不可缺少的一项技术.近年来,在爲校学牛中也经常开展大规模的EDA竞赛,掌握EDA技术是通信电子类高校学牛就业的一个基本条件。ALTERA公司是20世纪90年代以后发展很快的最大可编程逻辑器件

2、供应商0—・它开发的MAX+PlusII是业界公认的故优秀的CPLD开发平台Z—,在实际中被广泛地使用。EDA的关键技术之一就是要求川形式化方法来描述数字系统的碾件电路,即要川所谓駛件描述语言来描述硕件电路.所以硬件描述语言以及相关的仿真、综合等技术的研究是当今EDA领域的一个重要课题.而由美国国防部开发的VHDL语言以它iflj向多层次.多领域的优点,成为业界一致认同的标准硬件电路语言,并在1987年12月山IEEE标准化.它的出现为EDA的普及和推广奠定了坚实的基础.有关统计资料表明,VHDL语言业已被广人设计者所接受,据称已有90%的设

3、计者正在使用或即将使JIJVHDL语言来设计数字系统.另外,众多的CAD厂商纷纷使口己新开发的电子设计软件与VHDL语言兼容.由此可见,使用VIIDL语言设计数字系统是电子设计技术的必然趋势。由于駛件电路语言自身的特点,要利用VHDL语言进行设计,不仅需要熟练掌握语言本身,更要有深厚的电子电路基础以及其他的背呆知识,只有将VHDL语言结合到实际的领域中进行设计才能发挥它的巨人优势•我们编写此书的目的就是向具有一定电子电路基础以及初步掌握VHDL的设计人员介绍VHDL语言的基本框架和编程技巧,以及如何将VHDL语言结合到相应领域中进行设计,力图

4、使读者摆脱传统的人工设计方法的框框,使学习VHD语言设计的读者能从我们的切身经验屮受益,明白如何将VHDL应川于实际屮,少走弯路,从而使数字系统设计水平以及设计理念上升到一个新的阶段。由于EDA技术是一门实践性很强的课程,如果说学习、学握电子设计设计口动化技术有捷径的话,那么应该从仿制、理解、实践、创新开始。学习VHDL应遵循边学边练的原则,其语法规则只有在实践中才能完全领悟和掌握。木书一步一步的将AHDL、VHDL以及电路图设计方法的使用技巧教授给你们,使你们更好的掌握MAX+PlusTT软件的使用。本书的所以实例都是在MAX+PlusII

5、软件平台上进行编译、通过仿真的。本书的编写也是我们从事EDA教学工作的一个阶段总结,真诚的希望广大读者更对书中存在的问题提出宝贵的意见。上机注意事项实验熟悉MAX+PIusII的使用环境实验二用图形法设计模为12的冋步计数器实验二用图形法设计逻辑运算单元实验七用VHDL设计并行奇校验发生器实验八用VHDL设计3线8线译码器实验九用VHDL设计4位寄存器型扭环计数器实验十60进制计数器设计实验十移位寄存器的设计实验十二用VHDL描述74LS169实验十三序列信号发生器的设计实验十四图形法设计码长为31的M序列信号发生器实验十五简单数字秒表的设计

6、实验十六简单数字频率计设计实验十七模值可变计数器设计其中前14个实验是必须完成的实验任务,第十五和十六两个实验选择其中一个完成设计的任务。附录:电路图及波形图的打印方法上机前的注意事项:1・实验前应做好的实验准备包括:・了解实验目的、实验内容和要求・准备好实验电路和逻辑输入源文件・认真思考验证设计和进行波形仿真思考实验步骤及每步应得到的结果2.实验中细致认真并认真做好实验记录・试验中要独立思考,有问题可以讨论,但要独立完成实验任务・记录中间结果.及时做好源文件的拷贝・实验结果要以仿真结果来说明3.实验报告要求1:实验目的2:实验电路图(或输入

7、源文件)3:仿真结果(波形图应体现你的结论或论点)4:对思考题的理解或验证5:实验收获和体会(只写体会最深的)6:实验报告要求打印出来(A4纸字体大小为5号字)注意:除了熟悉MAXPLUSII之外,在上机实验时,应做好实验准备(实验内容,电路、源文件,以及实验目的),否则教师有权停止其上机实验。禁止在上机时打游戏、实验报告互相抄袭,由于上机实验有限,请同学利用课余时间主动完成实验内容。有条件的同学可以在自己的计算机上完成所有的实验设计任务MAX+PlusII的安装MAX+PlusII是MultipleArrayMatrixandProgram

8、mableLogicUserSystem的缩写1.MAX+PlusII推荐的PC系统配置(1)奔腾II500以上或更好PC机.(2)256MB的有效内存,不低于12

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。