EDA技术实验指导(页)

EDA技术实验指导(页)

ID:45756351

大小:140.88 KB

页数:11页

时间:2019-11-17

EDA技术实验指导(页)_第1页
EDA技术实验指导(页)_第2页
EDA技术实验指导(页)_第3页
EDA技术实验指导(页)_第4页
EDA技术实验指导(页)_第5页
资源描述:

《EDA技术实验指导(页)》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实验一QuartusIISC本输入法设计时序逻辑电路(1)实验目的:熟悉QuartusII的VHDL文本设计过程,学习简单时序电路的设计、仿真和硕件测试。(2)实验内容:I•用VHDL设计一个D触发器,并利用QuartusII进行编辑输入、编译及仿真。II•对I进行引脚锁定及硬件下载测试。建议选择实验电路模式5(参考附图F-5),用键1(PIOO,引脚号为1)控制输入信号D;时钟信号CLK接clockO(引脚号为93);输岀信号Q接发光二极管DI(PI0&引脚号为11)。最后进行编译、卜•载和硬件测试实验(通过按键1和时钟信号,控制发光二极管的亮

2、灭)。(3)实验报告:1.给出木实验的设计程序、仿真波形报告及其分析说明、硬件测试的结果。(1)实验目的:熟悉QuartusII的VHDL文本设计流程全过程,学习简单组合逻辑电路的设计、多层次电路的设计、仿真和硕件测试。(2)实验内容:I•利用QuartusII完成2选1多路选择器的文本编辑输入、编译和仿真测试,给出仿真波形分析说明。【参考程序】:ENTITYmux21aISPORT(a,b,s:INBIT;y:OUTBIT);ENDENTTTYmux21a;ARCHITECTUREoneOFmux21aISBEGINPROCESS(a,b,s)

3、BEGTNIFs='O'THENy<=a;ELSEy<=b;ENDIF;ENDPROCESS;ENDARCHITECTUREone;II・将实验I屮的多路选择器看成是一个元件mux21a,利用元件例化语句实现图2-1,并将此文件放在同一文件夹中。最后进行编译、仿真,给出仿真波形分析说明。图2-1双2选1多路选择器【参考程序LentityMUXKisport(al,a2,a3:inbit;sO,si:inbit;outy:outbit);endentityMUXK;architectureoneofMUXKiscomponentmux21aispo

4、rt(a,b,s:inbit;y:outbit);endcomponent;signaltmp:bit;beginul:mux21aportmap(a=>a2,b=>a3,s=>sO,y=>tmp);u2:mux21aportmap(a=>al,b=>tmp,s=>sl,y=>outy);endarchitectureone;HI•对II进行引脚锁定及硬件下载测试。建议选择实验电路模式5(参考附图F-5),用键1(PI00,引脚号为1)控制sO;用键2(PI01,引脚号为2)控制si;a3、a2和al分别接clocks(引脚号为16)、clock

5、O(引脚号为93)和clock2(引脚号为17);输岀信号outy接扬声器spker(引脚号为129)。通过短路帽选择clock5接1024I1Z信号,clockO接256Ilz信号,clock2接8IIz信号。最后进行编译、下载和硬件测试实验(通过选择键1、键2、控制sO、si,可使扬声器输出不同音调)。(3)实验报告:1.实验I的仿真波形报告分析说明。2.实验II的完整程序及程序分析说明。3.实验II的仿真波形报告分析说明。4.实验III硬件测试的详细实验说明。(1)实验目的:熟悉使用QuartusII的原理图输入方法设计简单组合逻辑电路,掌

6、握层次化原理图设计的方法。(2)实验原理:一个1位全加器可以曲两个半加器和一个或门构成,其电路原理图如下:^h_adder图3-2全加器原理图表3-1半加器真值表absoco0000011010101101(3)实验内容I・完成半加器的设计,包括原理图输入、编译、仿真,并将此半加器电路设置成-个硬件符号入库。II.建立一个更高层次的原理图文件,利用以上获得的半加器构成1位全加器,并完成编译、仿真及硕件测试。建议选择电路模式5(参考附图F-5),键1、键2、键3(PI00/1/2)分别接ain、bin、cin,发光管D2、DI(PT09/8)分别接

7、sum和couto(4)实验报告1.给出实验I的仿真波形报告分析。2.给出实验II的仿真波形报告分析。3.分别给出实验I和实验II的硬件测试过程说明和结果分析。实验四微分电路仿真微分电路如图4-1所示。运放采用uA741,电源电压Vcc=15V,Vee=—15V,电容的初始电压为0Vo当输入电压Vsin为正玄信号且其屈性为VOFE=TD=DF=0,PHASE=0,VAMPL=1V,FREQ=500Hz时,求输出Vout的波形。图4-1微分电路实验五晶体管放大电路仿真图5-1所示电路为二级电压串联负反馈放大电路。其中,激励源Vs的属性参数为默认值,

8、三极管Q2N3904的模型参数为默认值。OVdcQ2N3904RfWv20k11.・Cb3丿・・・注Q2N390412Vdc-=10RL

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。