北邮数字电路与逻辑设计实验-实验报告(上)

北邮数字电路与逻辑设计实验-实验报告(上)

ID:43543724

大小:572.61 KB

页数:27页

时间:2019-10-10

北邮数字电路与逻辑设计实验-实验报告(上)_第1页
北邮数字电路与逻辑设计实验-实验报告(上)_第2页
北邮数字电路与逻辑设计实验-实验报告(上)_第3页
北邮数字电路与逻辑设计实验-实验报告(上)_第4页
北邮数字电路与逻辑设计实验-实验报告(上)_第5页
资源描述:

《北邮数字电路与逻辑设计实验-实验报告(上)》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、北京邮电大学电路实验中心V数字电路与逻辑设计实验(上)>班级:学院:实验室:审阅教师:XXXXXXXXX实验报告姓名(班内序号):XXX)学号:2xxx实验时间:XXXX评定成绩:目录实验1QuartusII原理图输入法设计与实现3一、实验目的3二、实验所用器材3三、实验任务要求3四、实验原理图3五、实验仿真波形图及分析4实验2用VHDL设计与实现组合逻辑电路5一、实验目的5二、实验所用器材5三、实验任务要求5四、VHDL代码5五、实验仿真波形图及分析7实验3用VHDL设计与实现时序逻辑电路8一、实

2、验目的8二、实验所用器材8三、实验任务要求8四、模块端口说明及连接图8五、VHDL代码9六、实验仿真波形图及分析10实验4用VHDL设计与实现数码管动态扫描控制器10一、实验目的10二、实验所用器材11三、实验任务要求11四、模块端口说明及连接图11五、VHDL代码11六、实验仿真波形图及分析15故障及问题分析16总结和结论17实验1QuartusII原理图输入法设计与实现•、实验目的(1)熟悉用QuartusII原理图输入法进行电路设计和仿真;(2)掌握QuartusII图形模块单元的生成与调用;

3、(3)熟悉实验板的使用。1、实验所用器材(1)计算机;(2)直流稳压电源;(3)数字系统与逻辑设计实验开发板。三、实验任务要求(1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。(2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。(3)用3线・8线译码器(74LS138)和逻辑门设计实现函数+CBA,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示

4、输出信号。四、实验原理图(1)半加器原理图(2)全加器原理图AlBiyINPUT尸VCChaASBCOinst0R2x>inst2OUTPUTCi-1haAS—BCOinstlOUTPUT[Si(3)函数F原理图VCCinstYONAY1NBY2NCY3NG1Y4NG2ANY5NG2BNY6N74438Y7NNAND4inst3OUTPUT3:8DECODER五、实验仿真波形图及分析(1)半加器仿真I韬隔13ps1.28us2•甲us3.84us11888ns16875asJ—0A]。2BCOSBB

5、BB0000仿真波形图分析:根据仿真波形,可以确定电路实现了半加器的功能,其逻辑表达式为S二A㊉B,CO=ABo但我们也可以发现输出S和CO出现了静态功能冒险,要消除该冒险可以加入相应的选通脉冲。3PS116.8T5ns+CBA,当且仅当CBA输入为000、010、100、111时,F=1,㊉Ci-1,C匸AiBi+(Ai㊉Bi)Ci/。Valnt)ps2・酉us5.12us7.66us■10.24us1_12.gus15.36■116.63vis16,625ns”0AB0r^r^_^_r~LLU>

6、1BB0ji4i5i1n!ILiJM2CB0JiiiimLm□II!:1>3SaB1!!h34rB1n(3)函数F仿真波形图仿真波形图分析:+CBAo可知电路实现了函数实验2用VHDL设计与实现组合逻辑电路•、实验目的(1)熟悉用VHDL语言设计组合逻辑电路和时序电路的方法;(2)熟悉用QuartusII文本输入法进行电路设计;(3)熟悉不同的编码及其之间的转换。二、实验所用器材(1)计算机;(2)直流稳压电源;(3)数字系统与逻辑设计实验开发板。三、实验任务要求(4)用VHDL语言设计实现一个共阴

7、极7段数码管译码器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,7段数码管显示输出信号。(2)用VHDL语言设计实现一个8421码转换为格雷码的代码转换器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。(3)用VHDL语言设计实现一个4人表决器,多数人赞成决议则通过,否则决议不通过,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。四、VHDL代码(1)7段数码管译码器LIBRARYIEEE;USEI

8、EEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYsmgymqISP0RT(A:INSTD_L0GIC_VECT0R(3D0WNT00);B:OUTSTD_LOGIC_VECTOR(0TO6);C:OUTSTD_L0GIC_VECT0R(7DOWNTO0));ENDsmgymq;ARCHITECTUREbehavioralOFsmgymqISBEGINPROCESS(A)BEGINC<=n11111

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。