北邮数字电路与逻辑设计实验上-综合实验报告

北邮数字电路与逻辑设计实验上-综合实验报告

ID:15562991

大小:1.23 MB

页数:21页

时间:2018-08-04

北邮数字电路与逻辑设计实验上-综合实验报告_第1页
北邮数字电路与逻辑设计实验上-综合实验报告_第2页
北邮数字电路与逻辑设计实验上-综合实验报告_第3页
北邮数字电路与逻辑设计实验上-综合实验报告_第4页
北邮数字电路与逻辑设计实验上-综合实验报告_第5页
资源描述:

《北邮数字电路与逻辑设计实验上-综合实验报告》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、数字电路与逻辑设计实验综合实验报告学院:信息与通信工程学院班级:2013211124姓名:曹爽学号:2013210640班内序号:052015年6月2日21目录一、实验题目和任务要求3(一)QuartusII原理图输入法设计与实现3(二)用VHDL设计与实现组合逻辑电路3(三)用VHDL设计与实现时序逻辑电路3(四)用VHDL设计与实现相关电路4二、实验内容、原理图、VHDL代码和仿真波形分析4(一)QuartusII原理图输入法设计与实现41.半加器42.全加器53.3-8线译码器6(二)用VHDL设计与实现组合逻辑电路61.数码管译码器62.8421码转余3码63.

2、奇校验器7(三)用VHDL设计与实现时序逻辑电路81.8421十进制计数器82.分频器83.组合电路实现数码管0到9循环显示8(四)用VHDL设计与实现相关电路131.数码管动态扫描控制器132.点阵行扫描控制器16三、故障及问题分析20四、总结和结论21五、参考文献2121一、实验题目和任务要求(一)QuartusII原理图输入法设计与实现实验题目QuartusII原理图输入法设计与实现任务要求1)用逻辑门设计一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。2)用生成的半加器模块和逻辑门设计与实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关

3、设定输入信号,发光二级管显示输出信号。3)用3-8线译码器和逻辑门设计和实现函数,仿真验证其功能。(二)用VHDL设计与实现组合逻辑电路实验题目1)数码管译码器2)8421码转余3码3)奇校验器任务要求1)用VHDL语言设计实现一个共阴极7段数码管译码器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。2)用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。3)用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个‘1’时,输出为‘

4、1’,否则输出为‘0’,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。(三)用VHDL设计与实现时序逻辑电路实验题目1)8421十进制计数器2)分频器3)组合电路实现数码管0到9循环显示21任务要求1)用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验板测试。要求用按键设定输入信号,发光二极管显示输出信号。2)用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器。要求在QuartusII平台上设计程序并仿真验证设计。3)讲(1)、(2)和数码管译码器3个电路进行连接

5、,并下载到实验板显示计数结果。(一)用VHDL设计与实现相关电路实验题目(二选一)1)数码管动态扫描控制器2)点阵行扫描控制器任务要求1)用VHDL语言设计实现一个数码管动态扫描控制器,要求显示班号后3位和班内序号。仿真验证其功能,并下载到实验板测试。2)用VHDL语言设计实现一个8×8点阵行扫描控制器,要求从上至下逐行循环点亮点阵(红色或绿色均可),每行点亮时间为0.5秒。3)用VHDL语言设计实现一个8×8点阵行扫描控制器,要求从上至下逐行点亮点阵,第一行为红色,第二行为绿色,依次类推,直至点亮所有行,然后全部熄灭,再重新从第一行开始。一、实验内容、原理图、VHDL

6、代码和仿真波形分析(一)QuartusII原理图输入法设计与实现1.半加器原理图:211.全加器原理图其中halfadder元件是之前生成的半加器图形模块单元。仿真波形图波形分析全加器包括两个加数A和B、从低位进位Ci、向高位进位Co、和值S,其真值表如下:ABCISCO0000000110010100110110010101011100111111波形图中,高电平对应真值表中的‘1’,低电平对应真值表中的‘0’,设置“EndTime”为50μs,A、B、Ci三个输入的波形周期分别为1μs、2μs、4μs,可对应出真值表中的8种输入状态。S、Co21为输出,同样,根据高

7、低电平对照真值表,可以判断输出无误。输出波形中的冒险可通过增加冗余项消除,但对于本实验来说并无大碍。1.3-8线译码器本实验采用已有的3-8线译码器元件,再将表达式中四个最小项对应的输出管脚通过与非门连接即可。仿真波形图(一)用VHDL设计与实现组合逻辑电路在编写本次实验的代码时,我采用的是较为直观的CASE语句,罗列出所有可能。代码比较简单,故只列出代码,不再赘述。1.数码管译码器VHDL代码详见实验(三)3中VHDL代码的e7_2.vhd文件。2.8421码转余3码VHDL代码LIBRARYIEEE;USEIEEE.STD_LOGIC

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。