欢迎来到天天文库
浏览记录
ID:37918280
大小:55.00 KB
页数:10页
时间:2019-06-02
《DC Design Compiler 综合脚本命令及参考模板》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库。
1、DesignCompiler综合脚本常用命令和模板参照自己的设计,以及自己的工艺信息,适当修改下面的Constraints和RunScript等的脚本,添加一些相关的约束语句,就可以运行了详细的命令请参照DC的官方UserGuide等相关资料。InvokingDesignCompilerUnix%design_vision#InteractiveGUI,WLMmodeUnix%design_vision–topographical#InteractiveGUI,TopographicalmodeUnix%dc_shell-t#Intera
2、ctiveshell,WLMmodeUnix%dc_shell-t–topographical#Interactiveshell,TopographicalmodeUnix%dc_shell-t–fRUN.tcl
3、tee–imy.log#Batchmode.synopsys_dc.setupsetsearch_path“$search_pathlibsconsunmappedrtl”setsynthetic_librarydw_foundation.sldbsettarget_library65nm.dbsetlink_library“*
4、$target_library$synthetic_libraryIP.db”setsymbol_library65nm.sdbdefine_design_libWORK–path./workset_svfset_vsdchistorykeep200setsh_enable_page_modefalsesetcache_write.setcache_read$cache_writesuppress_message{LINT-28LINT-32LINT-33UID-401
5、}setalib_library_analysis_path[get_unix_variableHOME]aliashhistoryaliasrc“report_constraint-all_violators”TCLCommandsandConstructssetPER2.0#Defineavariableanditsvalueecho$PER#Variablesubstitutionà2.0setMARG0.95expr$PER*$MARG#expr:*,/,+,-,>,<,=,<=,>=setpci_ports[get_portsA
6、]#Imbeddedcommandsetpci_ports[get_ports“Y??MZ*”]#Wildcardsecho“EffctvP=#Softquotesà1.9[expr$PERIOD*$MARGIN]”echo{EffctvP=#Hardquotes[expr$PERIOD*$MARGIN]}#àEffctvP=[expr$PER*$MARG]#CommentlinesetCOMMENTin_line;#In-linecommentsetMY_DESIGNS{B1.v...B26.v}#foreachloopforeac
7、hDESIGN$MY_DESIGNS{read_verilog$DESIGN}for{seti1}{$i<27}{incri}{#forloopread_verilogBLOCK_$i.v}HelpfulUNIX-likeDC-shellcommandspwdcdlshistory!!!7!reportshprintenvget_unix_variableARCHConstraintsreset_designset_max_area0create_clock-period2–nameMain_Clk[get_p
8、ortsClk1]create_clock–period2.5–waveform{23.5}[get_portsClk2]create_clock–period3.5–nameV_Clk;#VIRTUALclockset_clock_uncertainty–setup0.14[get_clocks*]set_clock_uncertainty–setup0.21–from[get_clocksMain_Clk]–to[get_clocksClk2]set_clock_latency–max0.6[get_clocksMain_Clk]se
9、t_clock_latency–source–max0.3[get_clocksMain_Clk]set_clock_transition0.08[get_clocksMain_Clk]set
此文档下载收益归作者所有