综合与design_compiler(很好 很经典)

综合与design_compiler(很好 很经典)

ID:34644305

大小:2.52 MB

页数:115页

时间:2019-03-08

综合与design_compiler(很好 很经典)_第1页
综合与design_compiler(很好 很经典)_第2页
综合与design_compiler(很好 很经典)_第3页
综合与design_compiler(很好 很经典)_第4页
综合与design_compiler(很好 很经典)_第5页
资源描述:

《综合与design_compiler(很好 很经典)》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、综合与DesignCompiler综合是前端模块设计中的重要步骤之一,综合的过程是将行为描述的电路、RTL级的电路转换到门级的过程;DesignCompiler是Synopsys公司用于做电路综合的核心工具,它可以方便地将HDL语言描述的电路转换到基于工艺库的门级网表。本章将初步介绍综合的原理以及使用DesignCompiler做电路综合的全过程。§1.综合综述1.1什么是综合?综合是使用软件的方法来设计硬件,然后将门级电路实现与优化的工作留给综合工具的一种设计方法。它是根据一个系统逻辑功能与性能的要求,在一个包含众多结构、功能、性能均已

2、知的逻辑元件的单元库的支持下,寻找出一个逻辑网络结构的最佳实现方案。即实现在满足设计电路的功能、速度及面积等限制条件下,将行为级描述转化为指定的技术库中单元电路的连接。图1综合示意图如图4-1所示,综合主要包括三个阶段:转换(translation)、映射(mapping)与优化(optimization)。综合工具首先将HDL的描述转换成一个与工艺独立(technology-independent)的RTL级网表(网表中RTL模块通过连线互联),然后根据具体指定的工艺库,将RTL级网表映射到工艺库上,成为一个门级网表,最后再根据设计者施

3、加的诸如延时、面积方面的约①束条件,对门级网表进行优化。1.2综合的不同层次图2抽象层次设计的不同的抽象层次如图2所示,随着抽象层次的升高,设计者对于最终硬件(门和触发器)的控制能力越来越小。设计者可以在上述的三个层次用HDL语言描述他的设计,根据HDL语言描述的层次的高低,综合也相应的可以分为逻辑级综合,RTL级综合以及行为级综合。1.2.1逻辑级综合在逻辑级综合中,设计被描述成布尔等式的形式,触发器、锁存器这样的基本单元采用元件例化(instantiate)的方式表达出来。下面是一个加法器的逻辑级描述,输出寄存——①有关逻辑综合优化的

4、不同阶段,请参考«VLSIhandbook»的第33章它综合以后的电路网表如下图3所示,对比一下不难看出,逻辑级描述实际上已经暗示了综合以后的网表。图3综合后的网表1.2.2RTL级综合与逻辑级综合不同,在RTL级综合中,电路的数学运算和行为功能分别通过HDL语言特定的运算符和行为结构描述出来。对于时序电路,我们可以明确的描述它在每个时钟边沿的行为。下面同样是一个加法器的描述,它综合以后的网表如图3所示——注意到,图3中的三个触发器不是例化而是通过HDL的特定结构推断出来的。这种推断是根据一些推断法则(Inferencerule)进行的,

5、例如在这个例子中,当一个信号(变量)在时钟的边沿进行赋值(always语句),那么这个信号(变量)可以推断为一个触发器。1.2.3行为级综合行为级综合比RTL级综合层次更高,同时它描述电路也越抽象,在RTL级中,电路在每个时钟边沿的行为必须确切的描述出来,而行为级描述却不是这样,这里没有明确规定电路的时钟周期,推断法则也不是用来推断寄存器。电路的行为可以描述成一个时序程序(sequentialprogram),综合工具的任务就是根据指定的设计约束,找出哪些运算可以在哪个时钟周期内完成,需要在多个周期内用到的变量值需要通过寄存器寄存起来。请

6、看一个简单的行为综合的例子——上面这个例子没有任何时钟的信息,现在假设一次加法操作(加法器)需要5ns的延时并且假设系统的时钟是6ns,那么可以看出执行完上述操作需要3个周期的时间。另外,所有的三个加法语句可以通过重用一个加法器来实现,而且只需要一个叫做Tree的寄存器保存中间变量的值(不同时钟周期的变量值)。这种假设下的电路结构图如图4所示,控制器的时序关系如图5所示。图46ns周期下的电路结构图5控制时序图如果改变约束条件,假设时钟周期是11ns,那么完成全部操作仅需2个周期,同时需①要2个加法器,图6和图7分别是此时的电路结构图和控

7、制器时序图。①有关行为综合和RTL综合的具体描述请参考«VLSIhandbook»的第75章图611ns周期下的电路结构图7控制时序1.2.4DesignCompiler所处的位置图8DesignCompiler所处位置图8向我们展示了一个设计从最初的最抽象的概念阶段到最终的芯片阶段的转化过程,在这个过程当中,DesignCompiler主要完成将设计的RTL级描述转化到门级网表的过程,比RTL更高的行为级的综合,将由Synopsys的另外一个工具——BehaviorCompiler来完成。在以下的章节中,我们主要围绕怎样将一个RTL级描

8、述的设计转化为门级网表来进行讨论。1.3使用DesignCompiler做综合的流程示意图图9DC综合示意图与一般的综合过程相同,使用DC做综合也包含转换、优化和映射三个阶段。转换阶段综合工具

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。