模100BCD码计数器设计

模100BCD码计数器设计

ID:37819571

大小:1.47 MB

页数:14页

时间:2019-05-31

模100BCD码计数器设计_第1页
模100BCD码计数器设计_第2页
模100BCD码计数器设计_第3页
模100BCD码计数器设计_第4页
模100BCD码计数器设计_第5页
资源描述:

《模100BCD码计数器设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、附录一:基于原理图的模100BCD码计数器的设计1目的:1)练习用原理图输入设计;2)如何用数码管和二极管显示设计;3)如何仿真;4)如何绑定管脚。2过程2.1新建原理图文件。点击file->new->blockdiagramfile->OK,如图2-1,图2-2,出现图2-3。图2-1新建文件。点击file->new。图2-2新建原理图文件。点击blockdiagramfile->OK。图2-3.原理图设计输入区域。双击工作区域。图2-4宏单元输入界面。点击Library下的+。图2-5选用宏单元。键入74160。2.2输入设计。双击图2-

2、3的工作区,出现图2-4。在+megafunctions,+others,+primitives中寻找需要的宏单元。也可在Name下输入知道名字的宏单元,如“74160”,“GND”,“VCC”等,如图2-5。输入设计,如图2-6。存盘为m100_jishuqi,如图2-7。图2-6模100BCD码计数器。图2-7保存文件。2.2为本设计建立工程,并放在文件夹..m100_jishuqi中。在图2-7中点击是(或点击file->newproject)出现图2-8;点击next,出现图2-9;输入工程名字和顶层设计名字;点击next,出现图

3、2-10,加入设计文件;点击next,出现图2-11,选择器件(cycloneII系列EP2C70F896C6);点击next->next->finish。图2-8新建工程。点击Next。图2-9输入工程名字和顶层设计名字:mo100_jishuqi。图2-10把设计文件加入工程。图2-11选择器件:cycloneII系列EP2C70F896C6。2.3把FPGA没有用到的引脚设定为asinputtri-stated。点击assignment->device,如图2-12;点击DeviceandPinOptions,如图2-13所示,把Unu

4、sedPins设定为asinputtri-stated。图2-12assigments->device设置图2-13assigments->device->DeviceandPinOptions->UnusedPins设置2.5编译本设计。点击processing->startcompilation,进行全编译。2.6波形仿真—输入波形文件。点击file->new->vectorwaveformfile,如图2-14;点击ok,双击工作界面,出现图2-15;点击NodeFinder,出现图2-16;过滤器Filter选择PinsInput,点

5、击List,并点击“>>”,选择全部输入信号,如图2-16(也可以点击某个输入信号,如clk,用>选择该输入信号);过滤器Filter选择PinsOutput,点击List,显示所有输出信号,如图2-17;分别点击shiwei,>,gewei,>,就选出了输出信号shiwei[3:0]和gewei[3:0],如图2-18;点击ok->ok,出现图2-19;利用放大镜(zoomtool)把波形缩放到合适的大小(点击放大镜,点击鼠标左键是放大波形;点击鼠标右键是缩小波形);至此完成波形文件的输入输出信号选择。点击输入信号clk,再点击时钟图标,如

6、图2-20,把时钟周期改为20ns;点击ok,出现了输入信号clk的波形,如图2-21;点击信号clr,再点击“1”小图标,clr变高电平,如图2-22,再按下鼠标左键,框选clr信号的前40ns,然后点击“0”小图标,使得clr的前40ns为低电平,如图2-22;至此完成输入波形的输入。点击file->save,如图2-23,波形文件名和文件夹用缺省的,点击“保存(S)”。2.7波形仿真—查看仿真波形。功能仿真。点击菜单processing->simulatortool,出现图2-24;选择simulationmode为functional

7、,点击“generatefunctionalsimulationnetlist”,如图2-24;点击start,ok,再点击open观查仿真结果,如图2-25。从波形图看出,仿真波形正确,但只能计数到49。要看到完整的仿真,应把仿真时间总长从1us改为10us,方法是:点击菜单Edit->EndTime,把1us改为10us,如图2-26,点击ok;从新做仿真,可以看到图2-27的结果。时序仿真。点击菜单processing->simulatortool,选择simulationmode为timing,点击start,ok,再点击open观查

8、仿真结果。图2-14新建波形文件。点击ok。图2-15波形文件输入窗口。图2-16选择波形文件的输入信号图2-17显示波形文件的输出信号图2-18选择波形文件的输出

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。