Altera可编程逻辑器件开发软

Altera可编程逻辑器件开发软

ID:36429335

大小:4.53 MB

页数:289页

时间:2019-05-09

Altera可编程逻辑器件开发软_第1页
Altera可编程逻辑器件开发软_第2页
Altera可编程逻辑器件开发软_第3页
Altera可编程逻辑器件开发软_第4页
Altera可编程逻辑器件开发软_第5页
资源描述:

《Altera可编程逻辑器件开发软》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第3章Altera可编程逻辑器件开发软件3.1概述3.2MAX+PLUSⅡ开发软件3.3QuartusⅡ开发软件3.1概述Altera公司在推出开发工具软件:早期的A+PLUS、MAX+PLUS目前的MAX+PLUSⅡ、Quartus、QuartusⅡ。MAX+PLUSⅡ和QuartusⅡ具有完全集成化易学易用的可视化设计环境,还具有工业标准EDA工具接口,并可运行在多种操作平台上。MAX+PLUSⅡ和QuartusⅡ提供了一种与结构无关的设计环境,设计人员无须精通器件的内部结构,只需运用自己熟悉的输入

2、工具(如原理图输入或高级行为描述语言)进行设计,就可通过MAX+PLUSⅡ和QuartusⅡ把这些设计转换为最终结构所需要的格式。有关结构的详细知识已装入开发工具软件,设计人员无须手工优化自己的设计,因此设计速度非常快。3.1.1设计软件流程图使用MAX+PLUSⅡ和QuartusⅡ软件开发可编程逻辑器件,包括设计输入、项目编译、设计校验及器件编程等过程。如图3.1所示。图3.1MAX+PLUSⅡ和QuartusⅡ的设计流程图MAX+PLUSⅡ和QuartusⅡ提供了全面的逻辑设计能力,设计人员可将文本

3、、图形和波形等设计方法自由组合,建立起层次化的单器件或多器件设计。编译过程完成最小化逻辑综合、适配设计项目于单个器件或多个器件以及形成编程和配置数据等工作。设计校验包括功能仿真、时序仿真、影响速度的关键路径的延时预测以及多种系列器件混合使用的多器件仿真。3.1.2MAX+PLUSⅡ开发软件简介1.特点·MAX+PLUSⅡ是MultipleArrayMatrixandProgrammableLogicUserSystem的缩写,它提供了与结构无关的设计环境,支持FLEX、MAX及Classic系列器件,目

4、前已升级至10.1版本。·MAX+PLUSⅡ具有开放的界面,可与其它工业标准的EDA设计输入、综合及校验工具相连接。提供与结构无关的设计环境,支持多平台工作,既可以在Windows下运行,也可在SunSPACStations、HP9000Series700/800和IBMRISCSystem/6000工作站上运行。·MAX+PLUSⅡ提供丰富的逻辑功能库供设计人员调用,其中包括74系列全部器件的等效宏功能库和多种特殊的宏功能(MacroFunction)模块以及参数化的宏功能(Magefunction)

5、模块。·MAX+PLUSⅡ还具有开放核的特点,允许设计人员添加自己的宏功能模块。充分利用这些逻辑功能模块,可以大大减轻设计的工作量,成倍缩短开发周期。·MAX+PLUSⅡ软件支持各种HDL语言设计输入,包括VHDL、VerilogHDL和Altera自己的硬件描述语言AHDL。2.设计流程使用MAX+PLUSⅡ的设计过程包括以下几步。如图3.2所示:图3.2MAX+PLUSⅡ的设计流程1)输入设计项目逻辑设计的输入方法:原理图形输入(.gdf)、文本输入(.vhd)、波形输入(.wdf)及第三方EDA工

6、具生成的设计网表文件输入(.sch、.edf、.xnf)等。2)编译设计项目首先,根据设计项目要求设定编译参数和编译策略,如选定器件、锁定引脚、设置逻辑综合方式等。然后,对设计项目进行网表提取、逻辑综合、器件适配,并产生报告文件(.rpt),延时信息文件(.snf)和器件编程文件(.pof,.sof,.jed),供分析、仿真和编程使用。3)校验设计项目设计项目校验方法包括功能仿真、模拟仿真和定时分析。·功能仿真是在不考虑器件延时的理想情况下仿真设计项目的一种项目验证方法,称为前仿真。通过功能仿真可以验证

7、一个项目的逻辑功能是否正确。·模拟仿真(时序仿真)是在考虑设计项目具体适配器件的各种延时的情况下仿真设计项目的一种项目验证方法,称为后仿真。不仅测试逻辑功能,还测试目标器件最差情况下的时间关系。通过时序仿真,在设计项目编程到器件之前进行全面检测,以确保在各种可能的条件下都有正确的响应。·定时分析用来分析器件引脚及内部节点之间的传输路径延时、时序逻辑的性能(如最高工作频率、最小时钟周期等)以及器件内部各种寄存器的建立保持时间。4)编程验证设计项目用MAX+PLUSⅡ编程器通过Altera编程硬件或其它工业

8、标准编程器,将经过仿真确认后的编程目标文件编入所选定的Altera可编程逻辑器件中,然后加入实际激励信号,测试是否达到设计要求。3.2MAX+PLUSⅡ开发软件3.2.3.1图形设计输入方法(步骤):1:建立新文件(1)在File菜单中选择New,将出现New对话框。(2)在New对话框中选择GraphicEditorFile项,然后按下OK按钮,将会出现一个无标题的图形编辑器窗口。(3)执行filesaveas或保存工具,设置文件名。图

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。