硬件描述语言及器件实验指导实验指导书最终版

硬件描述语言及器件实验指导实验指导书最终版

ID:35246708

大小:1.47 MB

页数:27页

时间:2019-03-22

硬件描述语言及器件实验指导实验指导书最终版_第1页
硬件描述语言及器件实验指导实验指导书最终版_第2页
硬件描述语言及器件实验指导实验指导书最终版_第3页
硬件描述语言及器件实验指导实验指导书最终版_第4页
硬件描述语言及器件实验指导实验指导书最终版_第5页
资源描述:

《硬件描述语言及器件实验指导实验指导书最终版》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、硬件描述语言及器件实验指导书电子科学与技术专业组实验一用硬件描述语言的方法设计一个三输入与门电路一、实验目的1、掌握MAX+plusII的使用方法。2、掌握VHDL语言程序的基本结构。2、掌握使用硬件描述语言设计数字电路的方法和步骤。3、掌握用硬件描述语言设计三输入与门电路的方法。二、实验器材1.台式计算机1台。2.可编程逻辑逻辑器件实验软件1套。3.下载电缆一套。4.示波器一台。三、实验说明1.台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平台,供用户使用。2.可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理图下载到可编程逻辑逻辑器件中。3.

2、下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线接电源,用黑色导线接地。4.示波器用于观察可编程逻辑器件执行程序时输出信号的变化。四、实验内容与要求用硬件描述语言的方法设计一个三输入与门电路,要求:(1)根据三输入与门的逻辑表达式,在构造体中直接使用并行赋值语句实现,并进行仿真;(2)根据三输入与门的真值表,在构造体中使用进程语句实现,并进行仿真;五、实验步骤(下面七个的实验的软件的使用具体步骤跟本次试验一样不再详述)1.打开新建菜单选择“textedtiorfile”,这个文件是写程序编程用

3、的。2.程序写完后点“保存”,注意(1)保存的格式是.vhd,(2)保存的地址不能是桌面和硬盘的根目录,否则在后面的编程将出现错误。3.在file→projectset→projecttocurrentfile,这步是将文件设置在工程里,能够正常编译和下载。4.在“assign→device”选择下载设备的芯片型号,如果芯片型号选错将导致以后能编译成功但下载到实验箱时无法正常工作。5.在“max+plux”中选择“compiler”进行编译。6.在下载到实验箱之前先进行仿真观察结果是否满足实验要求,打开“MAX+PLUS—waveformeditor”做波形仿真7.点击鼠标右键选

4、择“enternodesfromSNF”,选择输入A,B,C和输出管脚F,点击8.在file→projectset→projecttocurrentfile,这步是将文件设置在工程里,能够进行正常的仿真,否则后面的过程将不能进行9.点击如图所示按键设置输入管脚A,B,C的时钟周期,点击“MAX+PLUS”中simulator进行仿真,观察结果是否和预设的一样。10.仿真结果正确后设置实验箱芯片,点击“MAX+PLUS”中Floorplaneditor,将输入管脚A,B,C和输出管脚F拖到芯片管脚中的I/O管脚中11.点击“MAX+PLUS”中programmer,在hardwar

5、etype中选择byteblaster(mv),parallelport选择LPT1进行下载。其他方式都会出现错误。六、实验报告要求1、整理实验结果,填写实验报告。2、小结实验心得体会。实验二用硬件描述语言的方法设计一个三-八译码器一、实验目的1.掌握IF语句和CASE语句的使用方法。2.掌握用硬件描述语言设计三-八译码器的方法。二、实验器材1.台式计算机1台。2.可编程逻辑逻辑器件实验软件1套。3.下载电缆一套。4.示波器一台。三、实验说明1.台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平台,供用户使用。2.可编程逻辑逻辑器件实验软件向原理图的设计提供平台,

6、并将调试好的原理图下载到可编程逻辑逻辑器件中。3.下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线接电源,用黑色导线接地。4.示波器用于观察可编程逻辑器件执行程序时输出信号的变化。四、实验内容与要求根据三-八译码器的真值表,用硬件描述语言的方法设计一个三-八译码器,要求:(1)使用IF语句实现,并进行仿真;(2)使用CASE语句实现,并进行仿真;五、实验步骤1.打开MAX+PLUS软件,点击“file”中“new”建立“textedtiorfile”文档并输入该实验的程序。2.选择菜单“Fil

7、e”→“SaveAs”,选择为自己建立的工程文件夹,将已编程好的程序保存(注意后缀是.vhd)在此文件夹内。注意:文件夹名不能用中文,且不可带空格。3.在“project”中设置“setprojecttocurrentfile”把文档设置在工程下,否则会在时出现错误。4.选择“Assign”菜单中“Device”项,弹出Device对话框,在Device对话框中选择实验箱上的芯片型号。注意如果芯片型号选择错误,后面的过程一定会出现错误。5.选择“max+plus”菜单中“comp

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。