硬件描述语言实验指导书

硬件描述语言实验指导书

ID:5278186

大小:902.63 KB

页数:24页

时间:2017-12-07

硬件描述语言实验指导书_第1页
硬件描述语言实验指导书_第2页
硬件描述语言实验指导书_第3页
硬件描述语言实验指导书_第4页
硬件描述语言实验指导书_第5页
资源描述:

《硬件描述语言实验指导书》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、《硬件描述语言》实验指导书南通大学电子信息学院2008年2月《硬件描述语言》实验指导书1、目的和任务《硬件描述语言》是一门侧重于数字电子系统的设计和测试方法的课程,它的上机实验是教学中的一个重要环节。通过上机学习,学生不仅可以系统地复习、巩固该课程的基本理论,而且培养学生解决问题能力和创新能力,同时为该课程的课程设计作准备。2、教学基本要求(1)、熟练使用EDA软件(QuartusII、ModelSim);(2)、掌握用VerilogHDL实现组合逻辑电路和时序逻辑电路的方法。3、实验条件(1)、P

2、C机、QuartusⅡ软件和ModelSim软件(2)、GW48型EDA实验开发系统(EP1K30TC144-3)4、实验内容序号实验内容1实验一设计工具的使用2实验二组合逻辑电路设计(一)3实验三组合逻辑电路设计(二)4实验四总线与总线操作5实验五时序逻辑电路设计(一)6实验六时序逻辑电路设计(二)5、实验成绩实验成绩的评定由实验的验收等级和实验报告等级两个部分组成,各占50%。实验的验收等级和实验报告等级均采用5个等级,即优、良、中、及格和不及格。实验成绩占本课程平时成绩的50%。1《硬件描述语

3、言》实验指导书实验一设计工具的使用三人表决器的VerilogHDL设计1、实验目的与要求掌握在QuartusⅡ开发环境下,运用硬件描述语言输入法对三人表决器进行编译、调试和仿真的方法。电路的输入为SW1、SW2和SW3,输出为L3和L4,位宽均为1位。要求熟悉整个设计流程,从打开、建立文档、编辑、编译、建立激励信号波形及最后仿真的整个过程。2、实验内容(1)、在QuartusⅡ开发环境下,建立工程,并将三人表决器的硬件描述语言程序输入;(2)、完成编译、调试和仿真,分析实验仿真结果,并判断其正确性。

4、3、教学形式(1)、本实验为验证型实验,学生在实验前预习实验指导书;(2)、指导教师应该在实验前阐述实验目的、内容、方法和步骤,并且就实验中的难点和注意事项进行一定的说明;(3)、实验结束之后,学生按照实验报告的书写格式自行完成实验报告。4、应达到的实验能力标准(1)、能熟练地在QuartusⅡ开发环境下,建立工程,并将三人表决器的硬件描述语言程序输入;(2)、能熟练地进行编译和调试,排除编译后的错误;(3)、正确地在仿真之前进行功能仿真的设置,并熟练地完成功能仿真;(4)、掌握基于ACEX1K系列

5、EP1K30TC144-3器件的时序仿真方法。5、思考题(1)、用QuartusII软件对设计电路进行的仿真包括哪两种类型,它们之间有什么区别?(2)、硬件描述语言输入法进行设计时的基本操作流程包括哪些环节?2《硬件描述语言》实验指导书实验二组合逻辑电路的设计(1)1、实验目的与要求掌握在QuartusⅡ开发环境下,运用Verilog硬件描述语言输入法对8选1多路选择器进行编译、调试和仿真的方法。要求根据使能端en[1:0]的不同组合,从8个输入a、b、c、d、e、f、g、h中选择1个输出,输出ou

6、t的位宽为1位。并分别采用case语句和if-else语句设计8选1多路选择器。2、实验内容(1)、在QuartusⅡ开发环境下,建立工程,并将8选1多路选择器的硬件描述语言程序输入;(2)、完成编译、调试和仿真,分析实验仿真结果,判断其正确性。3、教学形式(1)、本实验为设计型实验,学生在实验前预习实验指导书;(2)、指导教师应该在实验前阐述实验目的、内容、方法和步骤,并且就实验中的难点和注意事项进行一定的说明;(3)、实验结束之后,学生按照实验报告的书写格式自行完成实验报告。4、应达到的实验能力

7、标准(1)、能熟练地在QuartusⅡ开发环境下,建立工程,并将8选1多路选择器的硬件描述语言程序输入;(2)、能熟练地进行编译和调试,排除编译后的错误;(3)、正确地在仿真之前进行功能仿真的设置,并熟练地完成功能仿真;(4)、掌握基于ACEX1K系列EP1K30TC144-3器件的时序仿真方法。5、思考题(1)、采用case语句和if-else语句分别设计的八选一多路选择器之间有什么区别?(2)、如果要求不采用always语句,而采用assign语句设计该组合逻辑电路,该如何设计?3《硬件描述语言

8、》实验指导书实验三组合逻辑电路的设计(2)1、实验目的与要求掌握在QuartusⅡ开发环境下,运用硬件描述语言输入法对8线-3线优先编码器74148电路进行编译、调试和仿真的方法。要求分别用case语句和if-else语句设计8线-3线优先编码器74148。电路的输入为ei、i0、i1、i2、i3、i4、i5、i6、i7,输出为a2、a1、a0、gs、eo。优先编码器74148的功能表如下:2、实验内容(1)、在QuartusⅡ开发环境下,建立工程,并将8线-3线优先

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。