组成原理课程设计16位全加器电路的设计与实现

组成原理课程设计16位全加器电路的设计与实现

ID:33891293

大小:482.00 KB

页数:17页

时间:2019-03-01

组成原理课程设计16位全加器电路的设计与实现_第1页
组成原理课程设计16位全加器电路的设计与实现_第2页
组成原理课程设计16位全加器电路的设计与实现_第3页
组成原理课程设计16位全加器电路的设计与实现_第4页
组成原理课程设计16位全加器电路的设计与实现_第5页
资源描述:

《组成原理课程设计16位全加器电路的设计与实现》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、杨传福《16位全加器电路的设计与实现》第17页共17页16位全加器电路的设计与实现学生姓名:杨传福指导老师:王新摘要本课程设计主要利用门电路完成一个16位的全加器电路的设计与实现。本设计采用逐步求解的方法,即先设计一位全加器,再利用一位全加器设计出四位全加器,最后在四位全加器的基础上设计出16位全加器,并使用VHDL语言编写程序,在MAX-PLUSⅡ仿真平台上进行仿真。仿真结果表明,本课程设计中设计出的16位全加器能正确完成16位二进制数的加法运算。关键词全加器;门电路;先行进位Abstract:Thiscur

2、riculumdesignprimarilyusethegatecircuittocompletea16-bitfull-addercircuit.Thedesignsolvethisproblemwithstep-by-stepapproach,namelystartdesigningonefull-adder,andthenuseonefull-adderdesignafourfull-adder,thelastdesignthe16-bitfull-adderbasedonthefourfull-adde

3、r,anduseVHDLlanguageprogramming,atMAX-PLUSⅡsimulationonsimulationplatform.Thesimulationresultsshowthatthedesignofthecurriculumdesignofthe16-bitfull-addertoadda16-bitbinarynumberadditionoperations.Keywords:Full-adder;Gatecircuit;Firstbinary杨传福《16位全加器电路的设计与实现》

4、第17页共17页1引言1.1课程设计的背景随着计算机科学技术的发展,人们获得信息的途径更加多样,获取信息的速度更加快捷。硬件的发展允许程序员编出很多精彩的使用软件,也使得计算机更加普及。中央处理器CPU的好坏是影响和制约计算机速度和性能的关键因素。而加法器是组成CPU的的重要部件,一般运算速度的快慢就取决与每秒执行加法的次数,加法器是算术逻辑单元中的基本逻辑器件。例如:为了节省资源,减法器和硬件乘法器都可由加法器来构成。但宽位加法器的设计是很耗费资源的,因此在实际的设计和相关系统的开发中需要注意资源的利用率和进

5、位速度等两方面的问题。多位加法器的构成有两种方式:并行进位和串行进位方式。并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。并行进位的并行加法器又可以分为组内并行、组间串行的进位链和组内并行、组间并行的进位链。通常,并行加法器比串行级联加法器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器的资源占用差距也会越来越大。它们的目的就是要进位信号的产生尽可能的快,因此产生了二重进位链或更高重进位链,显然进位速度的提高是以硬件设计的复杂化为代价来实现的。1.2

6、课程设计目的巩固和运用所学课程,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力。通过课程设计更清楚地理解下列基本概念:1.计算机的硬件基本组成;2.计算机中半加器的设计;3.计算机中全加器的执行过程;4.全加器的工作原理;5.74系列芯片的组成和工作过程.在此基础上学会和锻炼以下能力:1.掌握全加器的组成、工作原理。2. 掌握产生求和结果的逻辑表达式。3. 掌握快速进位链产生进位的逻辑表达式。杨传福《16位全加器电路的设计与实现》第17页共17页4. 学会使用MAX-PLUSⅡ软件设计电路原理图及

7、功能模拟。5.熟悉常用的门电路,掌握快速进位链技术。1.3课程设计的内容了解计算机的硬件系统,了解一位全加器的组成原理,深入讨论计算机的组成原理,在熟悉常用的门电路的组成和工作过程的基础上,要求设计出一个16位的全加器。其中要求设计并写出产生求和结果的逻辑表达式,需要写出利用快速进位链产生进位的逻辑表达式,同时还要实现时需要用一个时钟信号控制运算的执行,如第一拍给出输入数据,第二拍给出运算控制信号,第三拍送输出数据,然后又回到第一拍,循环往复,直到运算全部结束。根据要求设计出针对具体指令所对应的流程图;根据流程

8、及门电路设计出相应的全加器。编写出VHDL程序,在仿真软件上运行并检验所设计的微程序的正确性。1.4课程设计的可行性分析全加器的性质为计算机硬件,而半加器的设计与操纵是必要的,另外是对文档的操作。我在上学期期间学习了计算机组成原理和以前学习的数字电路有关基础知识,具备有限的分析与设计能力,了解一些全加器和文档的设计与操纵;授课老师陈书开多年从事计算机组成原理的教学与研究工作,加上指导老

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。