Vivado高效设计案例分享.doc

Vivado高效设计案例分享.doc

ID:27523500

大小:530.00 KB

页数:9页

时间:2018-12-04

Vivado高效设计案例分享.doc_第1页
Vivado高效设计案例分享.doc_第2页
Vivado高效设计案例分享.doc_第3页
Vivado高效设计案例分享.doc_第4页
Vivado高效设计案例分享.doc_第5页
资源描述:

《Vivado高效设计案例分享.doc》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、Vivado高效设计案例分享  首先,在这个页面上啰嗦几句。左侧列出了软件不同的版本号,大家根据自己的需要选择相应的版本。中间这一列就是我们需要下载的软件安装包了。目前,Vivado支持windows和linux操作系统。大家可以根据自己的操作系统选择对应的版本进行下载安装,也可以选择AllOSVivadoandSDKFullInstaller(推荐),这个软件包包含了逻辑开发和嵌入式开发所需的全部工具。友情提醒,大家不闲麻烦的话,可以顺便把DocumentionNavigator一块下载安装。这个软件可以帮助我们快速浏览Xilinx的所有文档资料。接下来,就是老生常谈的话题了—

2、—环境搭建。整个软件的安装过程非常傻瓜式,这里就不浓墨渲染了,有图有真相:                  蜗牛的速度,整个安装过程耗时半个小时左右(与你电脑的性能有很大的关系),整个安装过程几乎不需要人为干预,因此这段时间可以泡杯茶享受一下生活。安装过程中,会跳出窗口让你选择本机已经安装的MatLab,这是做DSP开发用的,大家暂时取消掉(以后使用可以重新配置)。接近尾声的时候会弹出Xilinx的许可管理器让你安装许可证,相信很多童鞋暂时没有许可文件,所以我们只能无奈的点击X号。接下来,我们就去Xilinx官网获取试用版的许可文件(前提是你已经注册过Xilinx的账号)。注:

3、我这里生成的是HLS评估板License,只是示意,大家根据自己的需求生成相应的License。  在导航栏Support下,点击GetLicenseFile,进入如下界面:    选择VivadoHLSEvaluationLicense前面的小方框,然后点击下面的GenerateNode-LockedLicense。    *项为必填项目,我们只需填写第二项系统信息就可以了。点击Selectahost下拉列表,选择Addahost,然后根据提示完善相关内容就可以了。大家如果不知道如何获取相关信息的话,教大家一简单方法,运行开始—所有程序—XilinxDesignTools—Vi

4、vado2013.3—Accessories—ManageXilinxLicenses,最下面显示了你电脑的相关信息。然后一路next,就可以成功产生试用版的许可文件了。License会自动发到你的邮箱,这时你就可以去自己的邮箱下载到本地了。如果您懒得登录自己的邮箱,也可以在当前页面左下角点击下载图标进行下载,如下图所示:    终于看到了黎明的曙光,还差一步就功德圆满了。运行开始—所有程序—XilinxDesignTools—Vivado2013.3—Accessories—ManageXilinxLicenses,打开许可管理器,选择ManageLicenses—LoadLi

5、cense,然后定位到License所在的位置,最后关闭。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。