fpga原理及应用-vhdl设计初步

fpga原理及应用-vhdl设计初步

ID:26609148

大小:960.85 KB

页数:130页

时间:2018-11-27

fpga原理及应用-vhdl设计初步_第1页
fpga原理及应用-vhdl设计初步_第2页
fpga原理及应用-vhdl设计初步_第3页
fpga原理及应用-vhdl设计初步_第4页
fpga原理及应用-vhdl设计初步_第5页
资源描述:

《fpga原理及应用-vhdl设计初步》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、FPGA原理及应用第4章VHDL设计初步原理图输入与VHDL文本输入设计的区别Graphiciswhatyoudrawiswhatyouget“tellmewhathardwareyouwantandIwillgiveittoyou”VHDLiswhatyouwriteiswhatfunctionalyouget“tellmehowyourcircuitshouldbehaveandtheVHDLcompilerwillgiveyouthehardwarethatdoesthejob”butthedesignercannotcontrolhowtheci

2、rcuitimplement是什么是VHDL?VeryhighspeedintegratedHardwareDescriptionLanguage(VHDL)是IEEE、工业标准硬件描述语言用语言的方式而非图形等方式描述硬件电路容易修改容易保存特别适合于设计的电路有:复杂组合逻辑电路,如:译码器、编码器、加减法器、多路选择器、地址译码器…...状态机等等……..VHDL的功能和标准VHDL描述输入端口输出端口电路的行为和功能VHDL有过两个标准:IEEEStd1076-1987(calledVHDL1987)IEEEStd1076-1993(called

3、VHDL1993)VHDLSynthesisvs.otherHDLsSynthesisVHDL:“tellmehowyourcircuitshouldbehaveandIwillgiveyouhardwarethatdoesthejob”ABEL,PALASM,AHDL:“tellmewhathardwareyouwantandIwillgiveittoyou”WhyusingVHDLinsteadofGraphicEasytoModifyItismorepowerfulthanGraphicVHDLisaportablelanguagebecausei

4、sdeviceindependentthesamecodecanbeappliedtoDevicemanufacturedbyCompanyAorCompanyB4.1概述4.1.1常用硬件描述语言简介常用硬件描述语言有VHDL、Verilog和ABEL语言。VHDL起源于美国国防部的VHSIC,Verilog起源于集成电路的设计,ABEL则来源于可编程逻辑器件的设计。下面从使用方面将三者进行对比。(1)逻辑描述层次:一般的硬件描述语言可以在三个层次上进行电路描述,其层次由高到低依次可分为行为级、RTL级和门电路级。VHDL语言是一种高级描述语言,适用于

5、行为级和RTL级的描述,最适于描述电路的行为;Verilog语言和ABEL语言是一种较低级的描述语言,适用于RTL级和门电路级的描述,最适于描述门级电路。4.1概述4.1.1常用硬件描述语言简介(2)设计要求:VHDL进行电子系统设计时可以不了解电路的结构细节,设计者所做的工作较少;Verilog和ABEL语言进行电子系统设计时需了解电路的结构细节,设计者需做大量的工作。(3)综合过程:任何一种语言源程序,最终都要转换成门电路级才能被布线器或适配器所接受。因此,VHDL语言源程序的综合通常要经过行为级→RTL级→门电路级的转化,VHDL几乎不能直接控制门

6、电路的生成。而Verilog语言和ABEL语言源程序的综合过程要稍简单,即经过RTL级→门电路级的转化,易于控制电路资源。4.1概述4.1.1常用硬件描述语言简介(4)对综合器的要求:VHDL描述语言层次较高,不易控制底层电路,因而对综合器的性能要求较高,Verilog和ABEL对综合器的性能要求较低。(5)支持的EDA工具:支持VHDL和Verilog的EDA工具很多,但支持ABEL的综合器仅仅Dataio一家。(6)国际化程度:VHDL和Verilog已成为IEEE标准,而ABEL正朝国际化标准努力。4.1概述4.1.2VHDL的优点VHDL的英文全

7、名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,诞生于1982年。1987年底,IEEE公布了VHDL的标准版本(IEEE-1076)。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL与Verilo

8、g语言将承担起几乎全部的数字系统设计任务。4.1概述4.1.2VHDL的优点(1

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。