在Quartus中调用Modelsim进行仿真.docx

在Quartus中调用Modelsim进行仿真.docx

ID:26405855

大小:345.38 KB

页数:9页

时间:2018-11-26

在Quartus中调用Modelsim进行仿真.docx_第1页
在Quartus中调用Modelsim进行仿真.docx_第2页
在Quartus中调用Modelsim进行仿真.docx_第3页
在Quartus中调用Modelsim进行仿真.docx_第4页
在Quartus中调用Modelsim进行仿真.docx_第5页
资源描述:

《在Quartus中调用Modelsim进行仿真.docx》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、在QuartusⅡ中调用ModelSim进行仿真QuartusⅡ版本:QuartusⅡ10.0(32-bit);安装路径为D:MyfilesQUARTUS_10.0ModelSim版本:ModelSimSE6.5;安装路径为D:MyfilesModelsimSE一、Quartus设置(1)在QuartusⅡ中指明仿真工具及其路径(2)在QuartusⅡ中编译所需的元器件库二、建立工程及仿真(1)在QuartusⅡ中建立工程counter,并指明仿真工具(2)顶层文件代码(3)激励文件代码(4)在Quartus中添加testbench文件(5)在Quartus中全编译工程(6)前仿真(

2、7)后仿真一、QuartusⅡ的相关设置(1)在QuartusⅡ中指明仿真工具及其路径在QuartusⅡ中执行ToolsOptions,打开EDAToolOptions选项卡。我们使用ModelSim进行仿真,所以在ModelSim对应的LocationofExecutable中选择ModelSim的安装路径D:MyfilesModelsimSEwin32,如图1所示:图1(2)在QuartusⅡ中编译所需的元器件库1)在ModelSim安装路径D:MyfilesModelsimSE中新建文件夹modelsimSE_lib,用于存放编译的文件2)在QuartusⅡ10.0中执行T

3、oolsLaunchEADSimiulationLibraryCompiler,在打开的界面中Executablelocation一项选择ModelSim的安装路径D:MyfilesModelsimSEWin32在Avaliablefamilies中根据自己需要选择可能用的到器件系列,如cyclone、stratix等;在这里可以多选择一些,以备能用到,并且一次编译后,以后就不用再编译了。LibraryLanguage一项勾选Verilog;Outputdirectory选择D:MyfilesModelsimSEmodelsimSE_lib;设置好后界面如图2所示:图23)在图

4、1所示界面中单击StartCompilation,开始编译;4)编译完成后如图3所示,依次点击OK、Close。图3二、在QuartusⅡ中建立工程及仿真(1)在QuartusⅡ中建立工程counter,并指明仿真工具为Modelsim(Verilog),这一步可以在NewProjectWizard中指定,如图4所示;也可以先建立好工程在AssignmentsSettingEDAToolSettings中的simulation选项中设置,如图5所示。图4在NewProjectWizard中指定仿真工具图5在EDAToolSettings中指定仿真工具(2)Quartus工程顶层文件cou

5、nter.v代码:`timescale1ns/1ps//时间单位/时间精度modulecounter(inputclk_100M,inputrst,outputreg[3:0]cnt,//时钟计数器outputdiv_2,//2分频outputdiv_4,//4分频outputdiv_8//8分频);always@(posedgeclk_100Morposedgerst)beginif(rst)begincnt<=4'h0;endelsebegincnt<=cnt+1;endendassigndiv_2=cnt[0];assigndiv_4=cnt[1];assigndiv_8=cnt[2]

6、;endmodule(3)testbench文件counter_test.v代码:`timescale1ns/1ps//仿真时间单位/时间精度modulecounter_test();regclk_100M;regrst;wire[3:0]cnt;wirediv_2;wirediv_4;wirediv_8;parameterclk_cycle=10;//20M时钟parameterclk_hcycle=5;counterdut(//实例化待测试模块.clk_100M(clk_100M),.rst(rst),.cnt(cnt),.div_2(div_2),.div_4(div_4),.div_

7、8(div_8));initialbeginclk_100M=1'b1;endalways#clk_hcycleclk_100M=~clk_100M;//产生时钟信号initialbegin//产生复位信号rst=1'b1;#10//延时10ns即10个时间单位后,rst从1变为0rst=1'b0;endinitialbegin$monitor($stime,,clk_100M,,rst,,cnt,,div_

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。