可编程实验报告

可编程实验报告

ID:20946127

大小:785.00 KB

页数:21页

时间:2018-10-18

可编程实验报告_第1页
可编程实验报告_第2页
可编程实验报告_第3页
可编程实验报告_第4页
可编程实验报告_第5页
资源描述:

《可编程实验报告》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、中北大学电子与计算机科学与技术学院实验报告专业:电子科学与技术课程名称:可编程逻辑器件学号:0806044216姓名:杨晓龙辅导老师孟令军成绩实验日期实验时间1.实验名称用图形的设计方法设计一个3-8译码器组合电路2.实验目的1、熟悉QuartusⅡ软件环境;2、通过3-8译码器的设计,掌握组合逻辑电路的设计方法;3、掌握组合逻辑电路的静态测试方法;4、初步了解可编程器件设计的全过程。3.实验内容1.学会QuartusII软件的使用,及对原理图的仿真。2.学会用与非门设计3-8译码器。4.实验原理或流程图3-8译码器的原理图:5.实验仿真结果实验仿真结果如下所示:6.实验总结通过

2、本次实验我们了解了QuartusII的使用方法,及了解了3-8译码器的设计方法。辅导老师孟令军成绩实验日期实验时间1.实验名称用图形的设计方法设计一个触发器时序电路2.实验目的1.掌握触发器功能的测试方法。2.掌握基本JK触发器和D触发器的逻辑功能及触发方式。3.掌握基本RS触发器的组成及工作原理。4.掌握几种触发器之间相互转换的方法。3.实验内容将基本RS触发器,同步RS触发器,集成JK触发器,D触发器同时集成一个芯片中,模拟其功能,并研究其相互转换的方法。4.实验原理或流程图实验原理图如下所示:5.实验仿真结果1.RS触发器的仿真结果:2.D触发器的仿真结果:6.实验总结通过

3、本次实验,我们RS触发器,D触发器的工作原理有了更进一步的了解,更加了解了QuartusII。辅导老师孟令军成绩实验日期实验时间1.实验名称用VHDL语言和图形法设计一个全加器2.实验目的1、通过实验复习可编程逻辑器件开发软件的设计操作流程;2、掌握用VHDL语言设计逻辑器件的方法;掌握全加器功能的组成及工作原理;3.实验内容1.台式计算机1台。2.可编程逻辑器件实验箱1套。3.下载电缆一套。4.实验原理或流程图5.实验仿真结果一位全加器的方针结果如下图所示:6.实验总结本次实验我们通过QuartusII实现VHDL语言的编写,让我们对该软件的使用更加熟悉。了解了全加器的工作原理

4、辅导老师孟令军成绩实验日期实验时间1.实验名称利用可编程逻辑器件进行ROM的设计2.实验目的1.了解VHDL语言的使用;2.掌握ROM的工作原理;3.设计一个268X8的ROM;3.实验内容利用WHEN-ELSE的方法来描述一个ROM是最直观的,所以本次试验采用该方法来设计一个256X8的ROM,我们采用例比的方法来设计实现。4.实验原理或流程图entityromisport(dataout:outstd_logic_vector(7downto0);addr:instd_logic_vector(3downto0);ce:instd_logic);endrom;architec

5、tureaofromisbegindataout<="00001001"whenaddr="0000"andce='0'else"00011010"whenaddr="0001"andce='0'else"00011011"whenaddr="0010"andce='0'else"00101100"whenaddr="0011"andce='0'else"11100000"whenaddr="0100"andce='0'else"11110000"whenaddr="0101"andce='0'else"00010000"whenaddr="1001"andce='0'else"

6、00010100"whenaddr="1010"andce='0'else"00011000"whenaddr="1011"andce='0'else"00100000"whenaddr="0000"andce='0'else"00000000";enda;5.实验仿真结果波形仿真图:6.实验总结通过本次实验我们了解了ROM程序的编写方法,以及工作做原理方式。辅导老师孟令军成绩实验日期实验时间1.实验名称利用可编程逻辑器件进行7段数码管控制接口的设计2.实验目的1、通过实验复习可编程逻辑器件开发软件的设计操作流程;2、掌握七段数码管的功能和设计方法;3、掌握用硬件描述语言的方法设

7、计七段数码管控制模块;4、利用用可编程逻辑器件进行7段数码管定时更新。3.实验内容熟练掌握Quartus软件的基本操作和应用,了解计数器的功能,用原理图和语言描述相结合的设计方法设计任意进制计数器,通过软件进行功能仿真和固件代码下载,最后在实验箱上实现设计的功能。4.实验原理或流程图5.实验仿真结果仿真结果如下:6.实验总结通过本次试验,我们了解了7段数码管宽控制接口的设计。辅导老师孟令军成绩实验日期实验时间1.实验名称利用可编程逻辑器件进行D/A控制接口的设计。2.实验目的1、

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。