eda可编程逻辑实验报告

eda可编程逻辑实验报告

ID:6361184

大小:715.50 KB

页数:22页

时间:2018-01-11

eda可编程逻辑实验报告_第1页
eda可编程逻辑实验报告_第2页
eda可编程逻辑实验报告_第3页
eda可编程逻辑实验报告_第4页
eda可编程逻辑实验报告_第5页
资源描述:

《eda可编程逻辑实验报告》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、西安邮电学院可编程逻辑实验报告可编程逻辑实验院系名称:电子工程学院学生姓名:专业名称:光电信息工程班级:光电0904学号:西安邮电学院可编程逻辑实验报告实验名称:门电路的设计实验一:用原理图输入法设计门电路实验目的:1.掌握PLD芯片的基本使用方法,熟悉EDA软件MAX+plus的操作。1.学会利用软件仿真和实现用硬件对数字电路的逻辑功能进行验证和分析。器材:PC实验内容:实现1、F=/AB2、F=AB+CD实验结果:1.F=/AB原理图:仿真结果:2.F=AB+CD原理图:仿真结果:西安邮电学院可编程逻辑实验报告实验二:用原理图输入

2、法设计门电路实验目的:1.进一步掌握PLD芯片的基本使用方法,熟悉EDA软件MAX+plus的操作。2.学会利用软件仿真和实现用硬件对数字电路的逻辑功能进行验证和分析。3.学习初步的VHDL程序设计方法。器材:PC实验内容:实现3、F=A⊕B4、F=/abc+/d实验结果:3、F=A⊕B源程序:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entityxor2isport(a,b:ins

3、td_logic;F:outstd_logic);end;architecturemainofxor2isbeginF<=axorb;end;仿真结果:4、F=/abc+/d源程序:西安邮电学院可编程逻辑实验报告libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entityzhongheisport(a,b,c,d:instd_logic;F:outstd_logic);end;archit

4、ecturemainofzhongheissignalg,h,y,m,n:std_logic;beginm<=nota;g<=mandb;h<=gandc;n<=notd;y<=horn;F<=y;end;仿真结果:西安邮电学院可编程逻辑实验报告实验小结:本实验为第一次DEA实验,不免有些兴奋和好奇,加之老师讲的比较好,所以基本上没有遇到什么问题。虽然提前有预习过,但是老师还是一点点的细细讲,我很佩服。老师使我们在短时间内,学会了MAX+plus的使用方法。原理图输入法,文本输入法基本都会了。不过还是出了些问题。比如很容易忘记,将当前

5、工程选中。在文本编写时,必须保持文件名与实体名一致,且扩展名为“.vhd”。总之,这次实验做得还不错。实验名称:组合逻辑电路的设计实验三:编译码器设计实验目的:a)熟悉组合逻辑电路的VHDL描述方法。b)熟练掌握“case”语句和“if…else…”语句的用法。器材:PC实验内容:实现1、输入8421BCD码,输出余3码2、设计优先编码器实验结果:a)输入8421BCD码,输出余3码源程序:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;ent

6、ityshiyan3isport(X0,X1,X2,X3:instd_logic;Y0,Y1,Y2,Y3:outstd_logic);end;architecturerel_1ofshiyan3issignala,b,c,d,e,f,g,h,i,j,k,l,m,n:std_logic;begina<=notX3;b<=notX2;c<=notX1;d<=notX0;e<=aandd;f<=bandcandd;Y0<=eorf;g<=aandcandd;h<=aandX1andX0;Y1<=forgorh;i<=aandX2andcan

7、dd;j<=bandcandX0;k<=aandbandX1;Y2<=iorjork;l<=X3andbandc;m<=aandX2andX0;n<=aandX2andX1;Y3<=lormorn;西安邮电学院可编程逻辑实验报告end;实验结果:b)设计优先编码器源程序:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entityshiyan4isport(i:instd_logic_ve

8、ctor(7downto0);a:outstd_logic_vector(2downto0));end;architecturedataflowofshiyan4isbegina<="111"whenI(7)='1'el

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。