72基于fpga的数字下变频的设计与实现 - 三亿文库

72基于fpga的数字下变频的设计与实现 - 三亿文库

ID:19466580

大小:13.55 KB

页数:8页

时间:2018-10-02

上传者:xinshengwencai
72基于fpga的数字下变频的设计与实现 - 三亿文库_第1页
72基于fpga的数字下变频的设计与实现 - 三亿文库_第2页
72基于fpga的数字下变频的设计与实现 - 三亿文库_第3页
72基于fpga的数字下变频的设计与实现 - 三亿文库_第4页
72基于fpga的数字下变频的设计与实现 - 三亿文库_第5页
资源描述:

《72基于fpga的数字下变频的设计与实现 - 三亿文库》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

72基于FPGA的数字下变频的设计与实现SYSPRACTICE;系统实践;基于FPGA的数字下变频的设计与实现;孙琛(武警通信总站北京100089);摘要:为解决专用数字下变频芯片价格昂贵、灵活性不;1引言;在软件无线电接收机的设计中,数字下变频器(DDC;2DDC的结构原理;典型的数字下变频由数字混频器、数字控制振荡器(N;图1数字下变频系统结构框图;3数字下变频基于FPGA的设计与实现;本设计0SYSPRACTICE系统实践基于FPGA的数字下变频的设计与实现孙 琛(武警通信总站 北京 100089)摘要:为解决专用数字下变频芯片价格昂贵、灵活性不强的问题,研究了如何基于FPGA实现数字下变频的功能,本设计结合硬件资源从数字下变频的系统各模块的主要功能以及彼此间的性能制约上考虑,先通过MATLAB仿真选择合适的参 数,然后在Xilinx公司ISES.2开发环境下,使用Verifog语言编程实现。最后对基于FPGA实现的数字下变频系统调用Modelsim进行仿真测试,验证了设计的正确性。关键词:软件无线电;数字下变频;Xilinx;数字滤波器统,结合MATLAB预先对滤波器的指标进行仿真,然后在Xilinx公司的集成开发环境ISE8.2中进行Verilog语言编程,并结合Mentor的子公司ModelTech出品的Modelsim进行仿真和验证。3.1数控本振的设计目前主要的下变频方法有以下四种[3]:(1)查表法产生正余弦波样本值,然后混频。(2)IIR振荡器产生数字化正余弦函数,然后混频。(3)采用流水线技术的坐标旋转数字式计算机(CORDIC)算法。(4)重采样。实际中用得最多的还是(1),(3)两种方法。在采样率很高的情况下,产生正弦波采样最简单有效的方法是查表法,即把各个相位的正弦值事先计算好存储在ROM中,然后按相位做地址查表得到正弦波采样。NCO由相位累加器、相位加法器和正弦表只读存储器三部分组成。NCO的工作原理:每一个时钟脉冲,利用相位累加器使相位在原来的基础上加一个相位增加量即频率控制字,再利用相位加法器加上初始相位即相位偏移,最后用相位值作为正弦表的地址,查出正弦值。每当累加器溢出时就产生一个新的循环,累加器完成一个循环的时间就是正弦波形的周期[4]。1引言在软件无线电接收机的设计中,数字下变频器(DDC)技术是其核心技术之一。数字中频软件无线电接收机由模拟预处理、 ADC、DDC和高速DSP组成。一般A/D采样都是在中频以上,其频率一般在MHz数量级以上。一般认为,要进行较好的滤波等处理,需要对每个采样点进行100次操作。对于一个软件无线电系统来说,若系统带宽为10MH乙要大于25MHz。这样就需要2500MIPS(百万指令每秒),这是现有的任何单个DSP很难胜任的[1]。因此,必须采用数字下变频技术,通过对数字信号进行采样率变换以缓解DSP处理速度的压力。作为过渡阶段的软件无线电用DDC实现中频段的处理任务,这样即能保留软件无线电的优点,又有较高的可靠性[2]。目前,国外虽已生产专用数字下变频芯片,其具有抽取比大、性能稳定等优点,但专用数字下变频芯片价格昂贵、灵活性不强,不能充分体现软件无线电的优势。用FPGA来实现比用专用芯片具有更多的好处,FPGA器件具有很强的稳定性和高效的处理能力,它可以工作在几十甚至上百MHz的频率上,其高速强大的处理能力,是实现数字中频处理的理想器件。使用FPGA芯片实现的下变频器件可以更加方便的采用软件方法将存储器、控制器等外围器件集成到芯片内部,提高了整个系统的稳定行和集成度。虽然用硬件实现,FPGA的重复可配置能力,使其可以根据不同的系统要求,采用不同的结构来完成相应的功能,具有很强的灵活性,便于进行系统功能扩展和性能升级。并且设计和修改非常容易,其灵活性与方便性与软件实现相差无几,适合软件无线电灵活性。2DDC的结构原理 典型的数字下变频由数字混频器、数字控制振荡器(NumericallyControlledOscillator—NCO)和抽取滤波三部分组成,图1所示是一个数字下变频器的结构原理框图[2]。图中,NCO可将产生的正交本振信号输入到数字混频器,以与A/D采样得到的数字信号进行混频,经混频后的信号再输出到抽取滤波器以滤除倍频分量和带外信号,并进行抽取处理。抽取滤波器可采取积分梳状滤波器(CIC)、半带(HB)滤波器和FIR滤波器级联的方式来实现。图2NCO功能方框图3.2CIC滤波器的FPGA设计由以上NCO的设计可知,混频部分非常容易实现,关键部分在于滤波器的实现。CIC滤波器的系统结构主要由积分器与微分器两部分级联而成。积分器的实现,从结构图可以看出,由一个加法器跟延迟器组成,延迟器的实现在FPGA中由一级寄存器实现,加法器在FPGA中由一些组合逻辑实现(在综合时可以进行优化,占用资源并不太大);微分器由一个减法器跟延迟器组成,其FPGA实现跟积分器一样。在使用级联CIC滤波器时,系统N级级联后增益,因此在FPGA实现时,每一级必须包含足够的精度,也就是位扩展,即每一级所使用的运算有效位数都将比前一级要多[4]。CIC抽取滤波器每一级输出所需要的精度:(3.1)由式(3.1)得出每一级输出的数据精度,设计中都采用合理的精度即可以保证最后输出无失真。由于积分器部分是不稳定系统的缘故,所以会有增益,并且随着级数的增多和抽取因子的加大,增益也越大,所以在设计时必须注意中间寄存器位宽的选择[5],由式(3.1)经计算,中 图1数字下变频系统结构框图3数字下变频基于FPGA的设计与实现本设计选用Xilinx公司的SpartanXC3S400的FPGA开发系信息系统工程│2010.7.20SYSPRACTICE间寄存器的位宽应取为23位,设计中寄存器实际扩展为25位。设计采用CIC滤波器5级级联,滤波器截止频率为0.025fs,旁瓣抑制67.3dB。抽取倍数D=8,采样频率为开发板上晶振提供的50MHz。由于CIC放在第一级,势必要求处理速度非常高,但CIC滤波器5级级联就会增加关键路径的长度,有可能造成在输出时采样保持时间不足,造成亚稳态[5]。因此,为了避免这种现象,在FPGA实现时,每级都打一流水线(即加一级寄存器)。在每一级间增加一个延迟单元,梳状滤波器的传递函数变为:(3.2)(3.3)可见在梳状级间增加一个延迟单元对滤波器的频率响应没有影响,而且关键路径也不会特别长,但处理速度可提高4倍。在ISE调用Verilog编程,调用Mode1Sim综合仿真如图3:系统实践图3CIC滤波器的功能测试设计输入信号为781KHz正弦+2.34MHz正弦波,采样频率fs=50MHz,CIC抽取因子D=8,滤波器截止频率1.25MHz。如图3,clk_8为系统时钟的8分频,实测经过CIC滤波器后的信号 dout频率为78lKHz,证明设计的CIC有效地提取了所需要的信号。3.3HB滤波器模块设计与实现由于CIC滤波器会引起信号通带增益下降,为了解决这个问题,CIC滤波器后面要再级联抽取低通滤波器。半带滤波器的频率响应在信号采样率降低一半以后,在过渡带中是有混叠的,但是在通带内没有混叠,比普通的二倍抽取的抗混叠滤波器可以降低一半的运算量。因此,为了降低滤波器的复杂性,设计选择使用二倍抽取的半带滤波器以使总运算量大幅度降低。由于HB滤波器的阶数越低,相对带宽越小。因此,在小抽取率的情况下,应尽可能用高阶的HB滤波器,以获得尽可能大的信号带宽。使用MATLAB的FDA工具箱,可以直观形象的发现,通过blackman窗函数加权,能够最优良的得到满足要求的半带滤波器,也可以使用下面的公式计算半带滤波器的系数[6,7]:(3.4)(3.5)通过MATLAB仿真对半带滤波器的系数进行设计,通过设计不同阶数与不的量化位数等,设计最后选定为15阶,量化位数8,归一化截止频率0.145fs。经过CIC滤波器8倍抽取后的输出采样率为50/8=6.25MHz,则HB滤波器的通带截止频率为906.25KHz。HB滤波器的系数选用15阶对称系数,其中6个系数为0,2倍抽取,虽然抽取因子小,但是却获得了较高的处理速率。更进一步的抽取可以交给后级的FIR完成,这样做的好处是既提高了数据的处理带宽和处理速率又节约了FPGA的资源。在ISE调用Verilog编程,调用Mode1Sim综合仿真如下图4输入信号x_in为195KHz与20MHz两个正弦波的叠加,采样 频率为fs=50MHz,HB抽取因子D=2。如图4,clk2是clk的2分频,每clk2上升沿采样一次,采样频率变为25MHz,实测经过图4HB滤波器功能测试HB滤波器后的信号dout频率为195KHZ,证明设计的HB有效的滤除了带外信号,并对输入的有用信号进行了2倍的抽取。3.4FIR滤波器的FPGA设计通过CIC和HB滤波抽取后,基带信号会由最初的高采样率降到较低的速率,以适应后级FIR处理。FIR滤波器的主要用途是对整个信道进行整形滤波,需要的时候还可以作为匹配滤波器使用。本文采用窗函数设计FIR滤波器,FIR滤波器部分的Verilog代码如下:always@(posedgeclock)if(reset==1)beginfor(k=1;k<=order;k=k+1)Samples[k]<=0;endelsebeginSamples[1]<=Data_in;for(k=2;k<=order;k=k+1)Samples[k]<=Samples[k-1];End4结 语本文主要研究了数字下变频器的原理及基于FPGA的实现方法。由于FPGA在设计和修改上的灵活性,使其比ASIC更加适合实现数字下变频器。FPGA可以满足各种不同应用场合的要求,因此用FPGA来代替专用数字下变频芯片能够满足大部分扩频通信系统中接收机对数字下变频器的处理速度、处理带宽以及滤H波器性能的要求,具有一定的实用价值。参考文献[1]钮心忻,杨义先.软件无线电技术与应用[M].北京:北京邮电大学出版社,2001.[2]NicholasetalHT.The OptimizationofDirectDigitalFre-quencySynthesizerPerformanceinthePresenceofFiniteWordLengthEffects[A].Proc.42thAnnualFrequencyControlSymposium[C].1988:357-363.[3]WalterTuttlebee.软件无线电技术与实现[M].北京:电子工业出版社,2004.[4]飞思科技产品研发中心.Matlab7辅助信号处理技术与应用[M].北京:电子工业出版社,2005.[5]李义宁,赵杭生,朱爱华.CIC滤波器实现过程中应注意的几点问题[J].军事通信技术,2004.[6]王静,杨梅,刘涛.半带抽取有限冲激响应滤波器的应用设计及仿真[J].大连海事大学学报,2004.[7]韩利竹,王华.Matlab电子仿真与应用[M].国防工业出版社,2003.信息系统工程│2010.7.20三亿文库包含各类专业文献、应用写作文书、高等教育、外语学习资料、行业资料、中学教育、文学作品欣赏、专业论文、幼儿教育、小学教育等内容。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
关闭