72基于fpga的数字下变频的设计与实现 - 三亿文库

72基于fpga的数字下变频的设计与实现 - 三亿文库

ID:19466580

大小:13.55 KB

页数:8页

时间:2018-10-02

72基于fpga的数字下变频的设计与实现 - 三亿文库_第1页
72基于fpga的数字下变频的设计与实现 - 三亿文库_第2页
72基于fpga的数字下变频的设计与实现 - 三亿文库_第3页
72基于fpga的数字下变频的设计与实现 - 三亿文库_第4页
72基于fpga的数字下变频的设计与实现 - 三亿文库_第5页
资源描述:

《72基于fpga的数字下变频的设计与实现 - 三亿文库》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、72基于FPGA的数字下变频的设计与实现SYSPRACTICE;系统实践;基于FPGA的数字下变频的设计与实现;孙琛(武警通信总站北京100089);摘要:为解决专用数字下变频芯片价格昂贵、灵活性不;1引言;在软件无线电接收机的设计中,数字下变频器(DDC;2DDC的结构原理;典型的数字下变频由数字混频器、数字控制振荡器(N;图1数字下变频系统结构框图;3数字下变频基于FPGA的设计与实现;本设计0SYSPRACTICE系统实践基于FPGA的数字下变频的设计与实现孙 琛(武警通信总站 北京 100089)摘要:为解决专用数字下变频芯片价格昂贵、灵活性不强的问题,研究了如何基于FPGA实

2、现数字下变频的功能,本设计结合硬件资源从数字下变频的系统各模块的主要功能以及彼此间的性能制约上考虑,先通过MATLAB仿真选择合适的参数,然后在Xilinx公司ISES.2开发环境下,使用Verifog语言编程实现。最后对基于FPGA实现的数字下变频系统调用Modelsim进行仿真测试,验证了设计的正确性。关键词:软件无线电;数字下变频;Xilinx;数字滤波器统,结合MATLAB预先对滤波器的指标进行仿真,然后在Xilinx公司的集成开发环境ISE8.2中进行Verilog语言编程,并结合Mentor的子公司ModelTech出品的Modelsim进行仿真和验证。3.1数控本振的设计

3、目前主要的下变频方法有以下四种[3]:(1)查表法产生正余弦波样本值,然后混频。(2)IIR振荡器产生数字化正余弦函数,然后混频。(3)采用流水线技术的坐标旋转数字式计算机(CORDIC)算法。(4)重采样。实际中用得最多的还是(1),(3)两种方法。在采样率很高的情况下,产生正弦波采样最简单有效的方法是查表法,即把各个相位的正弦值事先计算好存储在ROM中,然后按相位做地址查表得到正弦波采样。NCO由相位累加器、相位加法器和正弦表只读存储器三部分组成。NCO的工作原理:每一个时钟脉冲,利用相位累加器使相位在原来的基础上加一个相位增加量即频率控制字,再利用相位加法器加上初始相位即相位偏移

4、,最后用相位值作为正弦表的地址,查出正弦值。每当累加器溢出时就产生一个新的循环,累加器完成一个循环的时间就是正弦波形的周期[4]。1引言在软件无线电接收机的设计中,数字下变频器(DDC)技术是其核心技术之一。数字中频软件无线电接收机由模拟预处理、ADC、DDC和高速DSP组成。一般A/D采样都是在中频以上,其频率一般在MHz数量级以上。一般认为,要进行较好的滤波等处理,需要对每个采样点进行100次操作。对于一个软件无线电系统来说,若系统带宽为10MH乙要大于25MHz。这样就需要2500MIPS(百万指令每秒),这是现有的任何单个DSP很难胜任的[1]。因此,必须采用数字下变频技术,通

5、过对数字信号进行采样率变换以缓解DSP处理速度的压力。作为过渡阶段的软件无线电用DDC实现中频段的处理任务,这样即能保留软件无线电的优点,又有较高的可靠性[2]。目前,国外虽已生产专用数字下变频芯片,其具有抽取比大、性能稳定等优点,但专用数字下变频芯片价格昂贵、灵活性不强,不能充分体现软件无线电的优势。用FPGA来实现比用专用芯片具有更多的好处,FPGA器件具有很强的稳定性和高效的处理能力,它可以工作在几十甚至上百MHz的频率上,其高速强大的处理能力,是实现数字中频处理的理想器件。使用FPGA芯片实现的下变频器件可以更加方便的采用软件方法将存储器、控制器等外围器件集成到芯片内部,提高了

6、整个系统的稳定行和集成度。虽然用硬件实现,FPGA的重复可配置能力,使其可以根据不同的系统要求,采用不同的结构来完成相应的功能,具有很强的灵活性,便于进行系统功能扩展和性能升级。并且设计和修改非常容易,其灵活性与方便性与软件实现相差无几,适合软件无线电灵活性。2DDC的结构原理典型的数字下变频由数字混频器、数字控制振荡器(NumericallyControlledOscillator—NCO)和抽取滤波三部分组成,图1所示是一个数字下变频器的结构原理框图[2]。图中,NCO可将产生的正交本振信号输入到数字混频器,以与A/D采样得到的数字信号进行混频,经混频后的信号再输出到抽取滤波器以滤

7、除倍频分量和带外信号,并进行抽取处理。抽取滤波器可采取积分梳状滤波器(CIC)、半带(HB)滤波器和FIR滤波器级联的方式来实现。图2NCO功能方框图3.2CIC滤波器的FPGA设计由以上NCO的设计可知,混频部分非常容易实现,关键部分在于滤波器的实现。CIC滤波器的系统结构主要由积分器与微分器两部分级联而成。积分器的实现,从结构图可以看出,由一个加法器跟延迟器组成,延迟器的实现在FPGA中由一级寄存器实现,加法器在FPGA中由一些组合逻辑实现

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。