quartus modlesim vhdl仿真

quartus modlesim vhdl仿真

ID:17937403

大小:1.02 MB

页数:19页

时间:2018-09-11

quartus  modlesim  vhdl仿真_第1页
quartus  modlesim  vhdl仿真_第2页
quartus  modlesim  vhdl仿真_第3页
quartus  modlesim  vhdl仿真_第4页
quartus  modlesim  vhdl仿真_第5页
资源描述:

《quartus modlesim vhdl仿真》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、QuartusiimodelsimVHDL仿真一、软件安装:Quartusii131.68Ghttp://download.altera.com/akdlm/software/acdsinst/13.0sp1/232/ib_installers/QuartusSetup-13.0.1.232.exeModelSim779Mhttp://download.altera.com/akdlm/software/acdsinst/13.0sp1/232/ib_installers/ModelSimSetup-13.0.1.232.exe器件库Cyclon

2、e573Mhttp://download.altera.com/akdlm/software/acdsinst/13.0sp1/232/ib_installers/cyclone-13.0.1.232.qdz现在进入正题,Quartus调用modelsim仿真。包括简单的testbench的编写,testbench仿真脚本说详细了可以写好几本书,我也是VHDL的初学者,这里我就不班门弄斧了。二、仿真写个简单的吧,以异步复位D触发器为例(一)新建一个工程:File→NewProjectWizard→Next至此,我们已经新建了一个D触发器的工程,现

3、在给这个工程添加文件。这样我们就新建了一个空白的VHDL文件,编辑代码libraryieee;useieee.std_logic_1164.all;entityd_latchisport(clk:instd_logic;rst_n:instd_logic;D:instd_logic;Q:outstd_logic);endentityd_latch;architecturearch_d_latchofd_latchisbegin--进程process(clk,rst_n)beginif(rst_n='0')thenQ<='0';elsif(clk'

4、eventandclk='1')thenQ<=D;endif;endprocess;endarch_d_latch;然后点保存。编译编译完成,看看一下报告一共四个管脚,只使用了一个逻辑单元。(一)仿真脚本这里用Quartus生成一个testbench模板下面来编辑一下TestBench,添加时钟激励,和数据等等打开testBench文件添加我们自己写的代码,这里我们需要一个时钟产生模块,和一个数据测试模块。替换的代码如下:clk_gen:processbeginclk<='0';waitfor10ns;clk<='1';waitfor10ns;e

5、ndprocessclk_gen;test:processbegin--复位D<='0';rst_n<='0';waitfor20ns;rst_n<='1';waitfor20ns;--给D触发器送数据D<='1';waitfor20ns;D<='0';waitfor20ns;--无限等待,停止仿真wait;endprocesstest;这里产生的时钟是50MHz。在时序电路仿真里,上面的时钟产生模块修改一下时钟周期,都适用。下面我们来把仿真脚本添加到工程里Ctrl+Shift+E然后OKOKApply设置Modelsim路径OK(一)开始仿真1

6、、功能仿真仿真波形如下1、时序仿真看出和功能仿真有什么区别没D触发器的延时为7415ps也就是说该D触发器的时钟不能超过7415ps。三、代码附录d_latch.vhd文件libraryieee;useieee.std_logic_1164.all;entityd_latchisport(clk:instd_logic;rst_n:instd_logic;D:instd_logic;Q:outstd_logic);endentityd_latch;architecturearch_d_latchofd_latchisbegin--进程proces

7、s(clk,rst_n)beginif(rst_n='0')thenQ<='0';elsif(clk'eventandclk='1')thenQ<=D;endif;endprocess;endarch_d_latch;d_latch.vht文件LIBRARYieee;USEieee.std_logic_1164.all;ENTITYd_latch_vhd_tstISENDd_latch_vhd_tst;ARCHITECTUREd_latch_archOFd_latch_vhd_tstIS--constants--signalsSIGNALclk:

8、STD_LOGIC;SIGNALD:STD_LOGIC;SIGNALQ:STD_LOGIC;SIGNALrst_n:STD_LOGIC;

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。