VHDL仿真步骤

VHDL仿真步骤

ID:47012886

大小:20.42 KB

页数:2页

时间:2019-12-03

VHDL仿真步骤_第1页
VHDL仿真步骤_第2页
资源描述:

《VHDL仿真步骤》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、如何利用quartus与modelsim进行VHDL设计及仿真1.在quartus建个工程,存放工程的路径随意,但工程名必须与实体名一致,之后按next直在EDAToolSettings界面,在Simulation行,ToolName选择ModelSim-Altera,之后next完成新建工程。2.新建VHDL文件,编写程序(注意实体名与工程名的一致)。完成后保存,之后开始编译直至编译通过。3.在Processing下来菜单中选择Start——StartTestbenchTemplateWriter。成功之后,就生成了对应的tes

2、tbench文档了,存放在工程文件夹里的simulation/modelsim里,是一个.vht文件。然后可以关闭quartus。4.现在就有两个文件了,一个.vhd和一个.vht。打开modelsim。新建工程,这里工程名不要求要和实体名一致。路径默认是桌面,最好设置在一个文件夹里。设置完成后,会弹出一个AdditemstotheProject的窗口。选择AddExistingFile,找到刚才的.vhd和.vht文件,添加到工程。5.之后在modelsim的project窗口(下拉菜单View可以选择显示这个窗口与否),可以看

3、到添加的两个文件。选中.vht文件,右键选择Edit。在弹出的窗口中找到这个位置在BEGIN下面,编写信号输入。格式类似下面的例子:input<="00000001"after1us,"00000010"after2us,"00000100"after3us,"00001000"after4us,"00010000"after5us,"00100000"after6us,"01000000"after7us,"10000000"after8us;好了之后保存。1.回到project窗口,选中其中一个文件,右键,选择Compoile

4、-ComloileAll。编译通过就会出现两个勾(没通过就调试到通过)。2.编译通过,则在Transcript窗口(开启关闭方式如Project窗口)输入vsim+.vht文件的实体名(如vsimencoder_vhd_tst),回车。之后继续在这窗口输入addwave–hex*,之后继续输入run+时间就可以开始仿真(如run20us)。在wave窗口点击按钮,即可看到仿真波形。备注:1.用modelsim也同样可以新建vhdl文档,也可以编译,之所以用quartus是因为quartus能生成testbench的模板。2.也可把

5、.vht文件代码存成.vhd文件,然后添加到modelsim工程。其他步骤均一样。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。