eda技术复习(2012-2013-1)

eda技术复习(2012-2013-1)

ID:17912507

大小:147.00 KB

页数:13页

时间:2018-09-09

eda技术复习(2012-2013-1)_第1页
eda技术复习(2012-2013-1)_第2页
eda技术复习(2012-2013-1)_第3页
eda技术复习(2012-2013-1)_第4页
eda技术复习(2012-2013-1)_第5页
资源描述:

《eda技术复习(2012-2013-1)》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第一章1.EDA技术的概念:EDA技术依赖功能强大的计算机,以大规模可编程器件为设计载体,以硬件描述语言HDL(HardwareDescriptionLanguage)为系统逻辑功能描述的主要表达方式,在EDA工具软件平台上,自动完成从软件方式描述的电子系统到实际硬件系统的逻辑化简、逻辑分割、逻辑综合、结构综合(布局布线)、逻辑优化、仿真测试和下载等功能,直至实现既定性能的电子线路系统功能。2.硬件描述语言有:VHDL、Verilog、AHDL、SystemVerilog、SystemC3.电子领域中的综合的含义:将高层次上描述的电子系统转换为低层次上描述的电子系

2、统,以便于系统的具体硬件实现。4.传统电子设计采用自底向上的设计方法,而EDA技术采用自顶向下的设计方法。5.EDA设计的一般流程:(1)设计输入(2)行为仿真(3)逻辑综合(4)功能仿真(5)适配(也称结构综合)(6)时序仿真(7)编程下载(8)硬件测试上述是完整的流程,但在实际设计中有时可以省略其中的几部,如我们实验中流程是:(1)(3)(4)(5)(6)(7)6.常用的集成化的EDA开发环境Xilinx的ISE、Altera的QuartusII第二章1.可编程逻辑器件PLD的概念:是一种通过用户编程或配置实现所需逻辑功能的逻辑器件2.PLD按集成度分类为:(

3、1)简单PLD:PROM、PLA、PAL和GAL(2)复杂PLD:CPLD和FPGA3.PLD按结构分类(1)基于乘积项结构(或称“与-或”阵列结构)的器件:大部分简单的PLD和CPLD都属于这类器件。(2)基于查找表结构的器件:由简单的查找表组成可编程门,再构成阵列形式,如FPGA属于这类器件。4.CPLD的结构主要分为:(1)由逻辑宏单元构成的逻辑阵列块(2)扩展乘积项(共享和并联)(3)可编程连线阵列(PIA)(4)I/O控制块5.FPGA的结构主要分为:(1)由逻辑宏单元构成的逻辑阵列块(2)嵌入式存储器块(3)嵌入式硬件乘法器(4)嵌入式锁相环(5)全局

4、控制信号和全局时钟网络(6)I/O单元VHDL复习要点:1.完整的VHDL设计程序称为设计实体,具有固定的结构:(1)各类库和程序包的使用声明(2)实体说明,主要描述设计实体与外部电路的接口。(3)结构体说明,主要描述设计实体端口间的逻辑功能或设计实体的内部结构。(4)配置说明其中,实体说明和结构体说明是必须要有的2.常用的库有:(1)IEEE库(2)STD库(3)WORK库(4)VITAL库3.常用的预定义的程序包有:(1)STD_LOGIC_1164程序包:IEEE库中最常用的程序包,其中包含数据类型、子类型和函数的定义等。该程序包中定义了满足工业标准的两个数

5、据类型STD_LOGIC和STD_LOGIC_VECTOR,将VHDL扩展为能描述多值逻辑(9个逻辑值)的硬件描述语言。(2)STD_LOGIC_ARITH程序包在STD_LOGIC_1164程序包的基础上扩展了3个数据类型:UNSIGNED、SIGNED和SMALL_INT,并为定义相关的算术运算符和数据类型转换函数。(3)STD_LOGIC_UNSIGNED和STD_LOGIC_SIGNED程序包其中STD_LOGIC_UNSIGNED定义无符号的运算符;STD_LOGIC_SIGNED定义有符号运算符。这些程序包重载了可用于INTEGER、STD_LOGIC

6、和STD_LOGIC_VECTOR之间的混合运算的运算符,且定义了STD_LOGIC_VECTOR到INTEGER的转换函数。(4)STANDARD和TEXTIO程序包4.实体结构:ENTITY实体名IS[GENERIC(类属表);][PORT(端口表);]ENDENTITY实体名;5.类属说明语句:说明设计实体与外部环境通信的参数和传递的静态信息,可以用来规定一个设计实体的物理特性(如延时、时间参数、总线宽度)、实体的端口大小、元件的数量等。类属与常数不同,常数只能从设计实体的内部赋值,且不能改变,而类属的值可以由设计实体外部提供,设计者可以很方便地通过设定类属

7、参数的值而改变一个设计实体的内部结构和规模。ENTITYnand_nISGENERIC(n:INTEGER);PORT(a:INSTD_LOGIC_VECTOR(n-1DOWNTO0);s:OUTSTD_LOGIC);ENDENTITYnand_nu1:nand_nGENERICMAP(n=>2)--类属变量n赋值为2PORTMAP(a(0)=>d1,a(1)=>d2,c=>q1);u2:nand_nGENERICMAP(n=>5)--类属变量n赋值为5PORTMAP(a(0)=>d3,a(1)=>d4,a(2)=>d5,a(3)=>d6,a(4)=>d7,c=>

8、q2);利

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。