基于vhdl语言的嵌入式微处理器的设计

基于vhdl语言的嵌入式微处理器的设计

ID:15344828

大小:265.85 KB

页数:4页

时间:2018-08-02

基于vhdl语言的嵌入式微处理器的设计_第1页
基于vhdl语言的嵌入式微处理器的设计_第2页
基于vhdl语言的嵌入式微处理器的设计_第3页
基于vhdl语言的嵌入式微处理器的设计_第4页
资源描述:

《基于vhdl语言的嵌入式微处理器的设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、万方数据第17卷第3期2009年05月=_==,一~⋯~⋯⋯.一河南机电高等专科学校学报J叫mal0fHen跏Mechanical蚴dElectricalEngine“ngCoⅡegeV01.17№.3May.2009引言基于VHDL语言的嵌入式微处理器的设计’冀红举,张孟超(河南科技学院,河南新乡453003)摘要:详细介绍了用VHDL语言设计可逻辑综合的32位嵌入武微处理器及其实现过程。徼处理器指令系统构架采用MIPs结构,设计上使用结构化编程方法,将微处理器内核按照功能划分为不同的模块,采用VHDL语言设计每一个模块的内部功能和外围接口。所有的功能

2、模块组合起来后,通过EDA工具进行徼处理器内核的逻辑综合和功能仿真。最后,在可编程逻辑器件上实现完整的微处理器内核。关键词:VHDL;嵌入式微处理器;FⅨ漶中图分类号:’rP332文献标识码:A文章编号:1008—2093(2009)03一0013—02V川)I。语青是一种用于电路没计的高级语言,主要朋于描述数字系统的结构、行为、功能和接口⋯。除了含有许多具有硬件特征的语句外,VIIDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言,使得VlIDL语言编程灵活方便。目前,可逻辑综合的微处理器采用流行的VHDL语言进行设计,根据微处理器所能够完

3、成的总体功能,采脂自顶向下的设计方法,将它分成不同的功能单元,每一个单元实现一定的逻辑功能。本文就是基于Vl{I)L语言来设计32位MlPS嵌入式微处理器。1微处理器的总体结构设计微处理器的总体结构设计泣’3J,分五个阶段完成,执行一条指令即可执行完毕,具体如下:1)指令读取(IF,JnstmctionFctch)阶段,主要功能是根据程序计数器PC(Pro辨堋Counterrcgister)指出的■fi{『指令的地址.从外部指令存储器(InstructionMcmery)取出一条指令,存放在指令寄存器(IR,In.sLructionRcgister)。P

4、C自动增加,指示下一条指令地址。Pc是当前的程序计数器,它是32位的只读寄存器。NPC(NewPro鲁ramCounter)是⋯个新的程序计数器.它是一个接受下一条指令地址的临时寄存器,它内部的值通过选择器Mu)【3回写给PC,IR从而可以得到下一条指令。2)指令译码(ID,Ins咖ctionDecode)阶段,主要功能是财当前的指令进行译码,从指令寄存器q1获得指令操作码,并对其进行辨识和解释,发出该指令执行过程中所需要的控制代码。A,B和Imm都是临时寄存器。A、B分别接收来自于寄存器REGS[R-s】和REcS[Rj]的值。Imm则接收来自于指令寄

5、存器的带符号扩展(sigIl—extend。ed)的16位立即数。3)执行(Ex,Execute)阶段,主要功能是执行指令计算出相应的结果,或者依估计状况条件值进而执行相应的分支。其中,AuJOutput是算术逻辑运算单元的输出端口,Cond是表示状态条件1位输出,用于分支条件判断。4)访存(MEM,Memory)阶段,主要功能是把上一级送来的结果存入数据存储器。LMD是一个临时寄存器。5)回写(WB,w订teBack),主要功能是把一些数据回写到寄存器堆。2主要功能模块的设计2.1微处理器时钟在设计微处理器的功能模块之前,需要决定如何逻辑实现和处理器锁

6、存数据。微处理器中有两种不同的逻辑元件:1)ALu、Mux、CONcROL单元的元件都是组合逻辑电路。它们的输出仅仅依赖于当前的输入状态,没有内部存储功能;2)存储器、寄存器堆、临时寄存器都是状态单元,它的输出不仪依赖于输入,还有其自身内部的状态。时钟用来决定状态何时被写入,一个状态可以在任意时刻读取。微处理器的时钟采用边沿触发的方式,整个系统采用单时钟电路,即提供一个系统时钟,每当·收稿日期:2009m-20作者简介:建红举(197l一),男,河南禹州人,实验师,学:l:,主要从事机械没汁制造及Jt自动化研究。13万方数据河南机电高等专科学校学报200

7、9年3期时钟边沿到来的时候,向状态元件写入数据。2.2控制器的设计控制器是一个微处理器的核心部件之一,控制CPU的取指、译码和指令执行等操作。在每一个时钟周期的上升沿,指令寄存器IR从指令存储器中读取指令后,控制单元必须能够根据操作码,为每个功能单元产生相应的主控制信号,并给ALU提供6位控制信号。对于不同的指令,同一个功能单元的输入不同,需要多路选择器~Iux来对数据通路中功能单元的输入进行选择。控制单元根据32位指令的6位操作码和6位功能区进行译码。最终实现对CPU各个功能单元的控制,实现指令的顺利执行。VHDL设计的控制单元构造体部分伪代码如下:棚

8、-chitectureBehayioralofcontroli8if、id、ex

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。