专用集成电路设计实验6

专用集成电路设计实验6

ID:14425798

大小:326.00 KB

页数:3页

时间:2018-07-28

专用集成电路设计实验6_第1页
专用集成电路设计实验6_第2页
专用集成电路设计实验6_第3页
资源描述:

《专用集成电路设计实验6》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验报告学院(系)名称:计算机与通信工程学院姓名学号专业班级实验项目实验6:七段数码管译码器2课程名称专用集成电路设计课程代码实验时间2013年06月16日实验地点主校区计算机基础实验室批改意见成绩教师签字:一,实验目的1、熟悉XilinxISE/ModelSim软件,掌握软件的VHDL程序输入、程序编译和程序仿真操作;2、学习利用VHDL语言设计七段数码管电路程序;3、根据译码器原理设计VHDL程序,实现数码管译码器功能。二,VHDL源程序:libraryIEEE;useIEEE.STD_LOGIC_116

2、4.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;--Uncommentthefollowinglinestousethedeclarationsthatare--providedforinstantiatingXilinxprimitivecomponents.--libraryUNISIM;--useUNISIM.VComponents.all;entityseg7isPort(a:instd_logic_vector(3do

3、wnto0);b:outstd_logic_vector(6downto0));endseg7;architectureseg_7_archofseg7isbeginprocess(a)process(a)beginCASEaisWHEN"0000"=>b<="1111110";WHEN"0001"=>b<="0110000";WHEN"0010"=>b<="1101101";WHEN"0011"=>b<="1111001";WHEN"0100"=>b<="0110011";WHEN"0101"=>b<="1

4、011011";WHEN"0110"=>b<="1011111";WHEN"0111"=>b<="1110000";WHEN"1000"=>b<="1111111";WHEN"1001"=>b<="1111011";WHEN"1010"=>b<="1110111";WHEN"1011"=>b<="0011111";WHEN"1100"=>b<="1001110";WHEN"1101"=>b<="0111101";WHEN"1110"=>b<="1001111";WHEN"1111"=>b<="1000111"

5、;WHENOTHERS=>b<="0000000";endCASE;endprocess;endseg_7_arch;l实验仿真波形图1l波形图2:l实验结果分析由波形图观察可得该VHDL代码实现了七段数码管译码器功能,根据输入的四位二进制,将其编译为七段数码管的字段码。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。