数字系统设计综合实验报告

数字系统设计综合实验报告

ID:13117595

大小:260.50 KB

页数:35页

时间:2018-07-20

数字系统设计综合实验报告_第1页
数字系统设计综合实验报告_第2页
数字系统设计综合实验报告_第3页
数字系统设计综合实验报告_第4页
数字系统设计综合实验报告_第5页
资源描述:

《数字系统设计综合实验报告》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、数字系统设计综合实验报告实验名称:1、加法器设计2、编码器设计3、译码器设计4、数据选择器设计5、计数器设计6、累加器设计7、交通灯控制器设计班级:姓名:学号:指导老师:实验1加法器设计1)实验目的(1)复习加法器的分类及工作原理。(2)掌握用图形法设计半加器的方法。(3)掌握用元件例化法设计全加器的方法。(4)掌握用元件例化法设计多位加法器的方法。(5)掌握用VerilogHDL语言设计多位加法器的方法。(6)学习运用波形仿真验证程序的正确性。(7)学习定时分析工具的使用方法。2)实验原理加法器是能够实现二进制加法运算的电路,是构成计算机中算术运算电路的基

2、本单元。目前,在数字计算机中,无论加、减、乘、除法运算,都是化为若干步加法运算来完成的。加法器可分为1位加法器和多位加法器两大类。1位加法器有可分为半加器和全加器两种,多位加法器可分为串行进位加法器和超前进位加法器两种。(1)半加器如果不考虑来自低位的进位而将两个1位二进制数相加,称半加。实现半加运算的电路则称为半加器。若设A和B是两个1位的加数,S是两者相加的和,C是向高位的进位。则由二进制加法运算规则可以得到。(2)全加器在将两个1位二进制数相加时,除了最低位以外,每一位都应该考虑来自低位的进位,即将两个对应位的加数和来自低位的进位三个数相加,这种运算称

3、全加。实现全加运算的电路则称为全加器。若设A、B、CI分别是两个1位的加数、来自低位的进位,S是相加的和,C是向高位的进位。则由二进制加法运算规则可以得到:1)实验内容及步骤(1)用图形法设计半加器,仿真设计结果。(2)用原件例化的方法设计全加器,仿真设计结果(3)用原件例化的方法设计一个4为二进制加法器,仿真设计结果,进行定时分析。(4)用VerilogHDL语言设计一个4为二进制加法器,仿真设计结果,进行定时分析。(5)分别下载用上述两种方法设计4为加法器,并进行在线测试。4)设计1)用图形法设计的半加器,如下图1所示,由其生成的符号如图2所示。2)用元

4、件例化的方法设计的全加器如图3所示,由其生成的符号如图4所示。图三:图四:5)全加器时序仿真波形如图下图所示6)心得体会:第一次做数字系统设计实验,老师给我们讲了用图形法设计的全过程。在这次过程中,我进一步加强对理论知识的学习,将理论与实践结合起来。实验过程中遇到了一个小问题是生成半加器符号,后来发现缺了File/CreateDefault这一步。通过这一次的失误,我明白了做事要认真!最后将实验做出来了,体味了成功的喜悦!通过这次实验我复习了加法器的分类及工作原理,并掌握了用图形法设计半加器的方法,掌握了用元件例化法设计全加器的方法,掌握了用元件例化法设计多

5、位加法器的方法,掌握了用VerilogHDL语言设计多位加法器的方法,学习了运用波形仿真验证程序的正确性,学习定时分析工具的使用方法。实验2编码器设计1)实验目的(1)复习编码器的构成及工作原理。(2)掌握用VerilogHDL语言设计编码器的方法。(3)掌握用图形法设计优先编码器的方法。(4)掌握用VerilogHDL语言设计优先编码器的方法。(5)进一步学习运用波形仿真验证程序的正确性。2)实验原理编码器(Encoder)的逻辑功能是将输入的每一个高、低电平信号编成一个对应的二进制代码。目前,经常使用的编码器有普通编码器和优先编码器两类。(1)普通编码器

6、在普通编码器中,任何时刻只允许输入一个编码信号,否则输出将发生混乱。图2.2.1是3位二进制编码器框图,它的输入是I0~I7八个高电平信号,输出是3位二进制代码Y2、Y1、Y0,为此,又称为8线-3线编码器。其输出与输入的对应关系如表2.2.1所示。(2)优先编码器在优先编码器(PriorityEncoder)中,允许同时输入两个以上的编码信号。不过在设计优先编码器时已将所有的输入信号按优先顺序进行排队,当几个输入信号同时出现时,只对其中优先权最高的一个进行编码。优先编码器经常用于具有优先级处理的数字系统中,例如,中断管理系统通常用优先编码器实现。8线-3线

7、优先编码器74147的真值表如表2.2.2所示。3)实验内容及步骤(1)用VerilogHDL语言设计8线——3线普通编码器,仿真设计结果。(2)用图形法设计实现74148功能的优先编码器,仿真设计结果,进行定时分析。(3)用VerilogHDL语言设计8线——3线优先编码器,仿真设计结果,进行定时分析。(4)分别下载用上述两种方法所设计的优先编码器,并进行在线测试。2)用图形法设计的优先编码器74148原理图如下:4)优化编码器功能仿真波形如下图:5)心得体会:这一次做数电学过的优先编码器,感觉还是很轻松的。在实验过程中没怎么遇到太大的问题,感觉就是速度慢

8、了一点,说明平时训练的少,所以我就想平时还需多练习,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。