基于eda抢答器的设计

基于eda抢答器的设计

ID:11471432

大小:214.00 KB

页数:19页

时间:2018-07-12

基于eda抢答器的设计_第1页
基于eda抢答器的设计_第2页
基于eda抢答器的设计_第3页
基于eda抢答器的设计_第4页
基于eda抢答器的设计_第5页
资源描述:

《基于eda抢答器的设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、《EDA技术综合设计》课程设计报告报告题目:基于VHDL的四路抢答器作者所在系部:电子工程系作者所在专业:自动化作者所在班级:B07221作者姓名:XXX指导教师姓名:XXX完成时间:2009-11-2918内容摘要抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,竞赛者可以分为若干组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个人按下按键后,则在显示器上显示该组的号码,同时电路将其他各组按键封锁,使其不起作用。若抢答时间内无人抢答,警报器发出警报。回答完问题后,由主持人将所有按键恢复,

2、重新开始下一轮抢答。因此要完成抢答器的逻辑功能,该电路至少应包括抢答鉴别模块、分频器、计时模块、选择控制和报警器组成。关键词:抢答鉴别封锁计时报警18目录一概述…………………………………………………………………5二方案设计与论证………………………………………………………………5三单元电路设计…………………………………………………………………53.1.抢答鉴别模块的设计………………………………………………53.2计时模块的设计………………………………………………………73.3数据选择模块的设计…………………………………………………83.4报警模块

3、的设计……………………………………………………103.5译码器模块的设计……………………………………………………123.6分频模块………………………………………………………………123.7抢答器顶层文件的设计……………………………………………133.8主电路连线图…………………………………………………………153.9芯片引脚图……………………………………………………………16四器件编程与下载………………………………………………………………16五性能测试与分析………………………………………………………………16六实验设备…………………………………

4、……………………………………16七心得体会………………………………………………………………………17八参考文献………………………………………………………………………1718程序设计流程图层次化设计图形输入文本输入建立项目文件设计器件增益逻辑正确仿真分析引脚分配重新调整设计正确生成下载文件下载并验证NONOYESYES设计输入设计生成设计实现硬件下载18一、概述抢答器的逻辑结构较简单,它主要由抢答鉴别模块、分频器、计时模块、选择控制和报警器组成。在整个抢答器中最关键的是如何实现抢答封锁,在控制键按下的同时计数器倒计时显示有效剩余时间。除此之外,整

5、个抢答器还需有一个使能信号和一个归零信号,以便抢答器能实现公平抢答和停止。抢答器共有3个输出显示,选手代号、计数器的个位和十位,他们输出全都为BCD码输出,这样便于和显示译码器连接。当主持人按下控制键、选手按下抢答键或倒计时到时蜂鸣器短暂响起。二、方案设计与论证将该任务分成七个模块进行设计,分别为:抢答器鉴别模块、抢答器计时模块、抢答器记分模块、分频模块、译码模块、数选模块、报警模块,最后是撰写顶层文件。1、抢答器鉴别模块:在这个模块中主要实现抢答过程中的抢答功能,并能对超前抢答进行警告,还能记录无论是正常抢答还是朝前抢答者的台号,并且能实现当

6、有一路抢答按键按下时,该路抢答信号将其余个绿抢答封锁的功能。其中有四个抢答信号s0、s1、s2、s3;抢答使能信号s;抢答状态显示信号states;抢答与警报时钟信号clk2;系统复位信号rst;警报信号tmp。2、抢答器计时模块:在这个模块中主要实现抢答过程中的计时功能,在有抢答开始后进行30秒的倒计时,并且在30秒倒计时后无人抢答显示超时并报警。其中有抢答时钟信号clk2;系统复位信号rst;抢答使能信号s;抢答状态显示信号states;无人抢答警报信号warn;计时中止信号stop;计时十位和个位信号tb,ta。3、数据选择模块:在这个模

7、块中主要实现抢答过程中的数据输入功能,输入信号a[3..0]、b[3..0]、c[3..0];计数输出信号s;数据输出信号y;计数脉冲clk2,实现a、b、c按脉冲轮流选通,在数码管上显示。4、报警模块:在这个模块中主要实现抢答过程中的报警功能,当主持人按下控制键,有限时间18内人抢答或是计数到时蜂鸣器开始报警,有效电平输入信号i;状态输出信号q;计数脉冲clk2。1、译码模块:在这个模块中主要实现抢答过程中将BCD码转换成7段的功能。2、分频模块:在这个模块中主要实现抢答过程中实现输出双脉冲的功能。3、顶层文件:在这个模块中是对前七个模块的综

8、合编写的顶层文件。三、单元电路设计(一)抢答鉴别模块1、VHDL源程序libraryieee;--抢答鉴别模块useieee.std_logic_11

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。