基于eda技术的抢答器的设计与实现

基于eda技术的抢答器的设计与实现

ID:34161655

大小:1.05 MB

页数:4页

时间:2019-03-03

基于eda技术的抢答器的设计与实现_第1页
基于eda技术的抢答器的设计与实现_第2页
基于eda技术的抢答器的设计与实现_第3页
基于eda技术的抢答器的设计与实现_第4页
资源描述:

《基于eda技术的抢答器的设计与实现》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第8卷第11期2008年6月科学技术与工程Vo.l8No.11June200816711819(2008)11282204ScienceTechnologyandEngineering2008Sc.iTech.Engng.计算机技术基于EDA技术的抢答器的设计与实现*李伟英谢完成(湖南娄底职业技术学院,娄底417000)摘要从实际应用出发,利用电子设计自动化(EDA)技术,用可编程逻辑器件设计具有扩充功能的抢答器。该抢答器利用Protel99完成了原理图设计、利用VHDL完成了控制主电路设计,具有数字显示电路、倒计时显示电路、违犯规定电

2、路、编码译码电路功能,并利用的MAXPLUS工具软件完成了编译仿真验证;硬件选择Altera的MAX740005系列的EPM7128LC84-15芯片来实现抢答器的系统功能,该抢答器具有很强的功能扩充性,应用效果良好。关键词电子设计自动化抢答器硬件描述语言仿真中图法分类号TP29;文献标志码AEDA(ElectronicDesignAutomation)是一门综合者按下抢答按钮,对应的输入引脚接低电位0,电路技术,通过EDA软件平台,利用标准化的硬件设计应记忆下第一抢答者的组别,并封锁其他各组的按语言和可编程逻辑器件的应用,能方便、高效地完钮,即其

3、他任何一组按键都不会使电路响应;系统成电子电路的设计。根据实际应用的需要,利用可以两种方式指示第一抢答者:其一是通过对应的输编程逻辑器件(CPLD)设计一个数字式竞赛抢答出引脚点亮发光二极管,编译码电路数字显示组别器,它能准确、公正、直观地判断出第一抢答者,并号;其二是在第一抢答者产生时,蜂鸣器警示;设置能通过抢答器的数码显示和警示蜂鸣等手段指示违规电路单元,当抢答者在主持人按下复位清零按出第一抢答者。钮之前就已经按下抢答按钮时,则给出违规信号为高电平;倒计时电路显示设定的抢答倒计时时间。1设计思路和设计过程某组抢答有效时,则显示对应的倒计时时刻,确定系统框图如图1所示。设计

4、制作一个竞赛抢答器,每组受控于一个抢答开关,分别为S1,S2,,S7,S8,,低电平表示抢答有效;设置主持人控制键RESET,用于控制整个系统清0和抢答有效控制,按下复位键时,RESET=0,系统清零;抬起复位键时,RESET=1,抢答开始;系统具有第一抢答信号鉴别和锁存功能。在主持人将系统复位并使抢答有效开始后,第一抢答2008年2月18日收到娄底职业技术学院科研项目(06ZF002)资助图1抢答器框图第一作者简介:李伟英(1969),女,湖南涟源人,讲师,硕士,研究方向:电子技术;设计各部分单元电路,完成抢答器的系统设*通信作者简介:谢完成(1966),男,湖南

5、双峰人,副教授,研究计,图2所示为控制主电路原理图。方向:电子与通信技术,Emai:lcygkxwc@163.com。11期李伟英,等:基于EDA技术的抢答器的设计与实现2823Useieee.std-logic-unsigned.al;lENTITYSEG7b2ISPORT(num:INstd-logic-vector(7downto0);led:OUTstd-logic-vector(6downto0));ENDSEG7b2;ARCHITECTCIREaOFSEG7b2ISBEGINWITHNUMSELECTled<="0110000"when"00000001"

6、显示1;"1101101"when"00000010"显示2;"1111001"when"00000100"显示3;"0110011"when"00001000"显示4;"1011011"when"00010000"显示5;"1011111"when"00100000"显示6;"1110000"when"01000000"显示7;"1111111"when"10000000"显示8;图2抢答器控制主电路原理图"1111110"whenothers;显示0;ENDa;2原理分析图2中WG为违规电路。当抢答者在主持人复位系统之前就

7、已按下抢答按钮,当RESET=0时,则第一信号鉴别电路是抢答器的关键电路,其任Y=1表示某组违规,当RESET=1时,显示违规组务是鉴别并锁存第一抢答者的信号。图2选用4D别。其VHDL源程序如下:触发器74175以及与非门构成了一个8组输入的鉴LIBRARYieee;别电路。RESET低电平有效对系统实现清0。当useieee.std-logic-1164.al;lRESET=1表明系统抢答有效准备就绪,无人抢答useieee.std-logic-unsigned.al;l时,使Q为0,Q

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。