项目4 全加器设计

项目4 全加器设计

ID:8460895

大小:247.50 KB

页数:4页

时间:2018-03-28

项目4 全加器设计_第1页
项目4 全加器设计_第2页
项目4 全加器设计_第3页
项目4 全加器设计_第4页
资源描述:

《项目4 全加器设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、湖北轻工职业技术学院电子设计自动化实训报告项目四8位加法器电路设计班级:09电信姓名:曾珍学号:331.实训目标1)通过8位加法器的设计,掌握组合逻辑电路的设计方法。2)分别使用原理图和文字编辑的方法实现8位加法器的设计,通过电路的仿真和硬件验证,进一步掌握原理图设计与文本设计的过程。2.实训步骤1)采用原理图编辑法,采用AlteraMAX+PLUSII的MF函数里面调用8位全加器宏函数8fadd实现电路设计。编程器件型号选择ACE1k系列的EP1K30TC144-3。完成项目编辑及功能仿真。2

2、)采用文本编辑法,即利用VHDL语言描述8位加法器,4位加法器的参考代码如下。然后对其进行编译,编程器件型号选择ACE1k系列的EP1K30TC144-3,完成程序仿真,记录仿真数据。4/4湖北轻工职业技术学院电子设计自动化实训报告1)由两个并行的4位加法器级联而成。选用原理图编辑发或者文本编辑法实现8位全加器电路。并通过仿真验证。1.实训数据1)原理图编辑法设计的8位加法器的电路。2)原理图编辑法仿真结果。简述仿真波形的意义。3)步骤2、步骤3选做一种,记录电路图或程序。4/4湖北轻工职业技术

3、学院电子设计自动化实训报告1)对设计的8位全加器进行仿真验证,记录仿真结果。简述仿真波形的意义1.思考题根据步骤2中4位加法器的参考程序,设计一个4位减法器,并记录其仿真数据。4/4湖北轻工职业技术学院电子设计自动化实训报告4/4

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。