数控分频器的设计

数控分频器的设计

ID:9336038

大小:402.00 KB

页数:9页

时间:2018-04-28

数控分频器的设计_第1页
数控分频器的设计_第2页
数控分频器的设计_第3页
数控分频器的设计_第4页
数控分频器的设计_第5页
资源描述:

《数控分频器的设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、课程设计论文数控分频器的设计姓名:学号:专业:电子信息工程班级:2012年11月9日数控分频器的设计摘要在数字逻辑电路设计中,分频器是一种基本电路。通常用来对某个给定频率进行分频,以得到所需的频率。数控分频器的功能是在输入端输入不同数据时,对输入时钟产生不同的分频比,使输出信号的频率为输入数据的函数。数控分频器的输出信号频率为输入数据的函数。用传统的方法设计,其设计过程和电路都比较复杂,且设计成果的可修改性和可移植性都较差。基于VHDL的数控分频器设计,整个过程简单、快捷,极易修改,可移植性强。他可利用并行预置数的加法计数器和减法计数器实现

2、。广泛应用于电子仪器、乐器等数字电子系统中。此设计以quartusII为开发平台,用VHDL语言设计了数控分频器,并生成原理图,完成了分频的功能,并在quartusII上仿真,验证通过。关键词:QuartusIIVHDL语言数控分频器仿真一、设计目的1、学习EDA工具的基本应用;2、学习quartusII的使用;3、学习verilog设计;4、学习数控分频器的设计方法。一、设计特点1、采用VHDL硬件编程语言和模块化的设计方法,设计的可移植性好;2、能实现整数的等占空比分频;3、可以轻松实现可控分频,降低了设计的复杂性。二、设计原理数控分频

3、器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可,其基本的框图如图1所示。图1分频器基本框图三、设计步骤4.1设计原理(1)创建工程,并命名位skfpq;(2)打开QuartusII,建立VHDL文件,并输入设计程序,保存;(3)启动编译;(4)建立仿真波形图;(5)仿真测试和波形分析。4.2设计程序moduleskfpq(clk,q,sel);inputclk;input[1:0]sel;outputregq;

4、regq0,q1,q2,q3;always@(posedgeclk)beginq0<=q0;q0<=~q0;endalways@(posedgeq0)beginq1<=q1;q1<=~q1;endalways@(posedgeq1)beginq2<=q2;q2<=~q2;endalways@(posedgeq2)beginq3<=q3;q3<=~q3;endalways@(sel)case(sel)2'b00:q<=q0;2'b01:q<=q1;2'b10:q<=q2;2'b11:q<=q3;endcaseendmodule此程序有Quar

5、tusII进行仿真测试,仿真后用RTLViewer观测其原理图如图2所示。图2Viewer观测的原理框图4.3仿真结果和下载测试结果分析其仿真结果是正确无误的,其仿真结果如图3所示。图3八位分频器仿真时序图16位分频器的仿真结果如图4所示。图4十六位分频器仿真时序图五、总结和心得体会这次EDA课程设计历时一个星期,在这些日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编

6、写调试成功时,心里特别的开心。但是在编写文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难。但是经过我的缜密的分析后,重新输入clock和D的值,最后成功了。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难

7、重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。总的来说,这次设计的数控分频器还是比较成功的,在设计中遇到了很多问题,最后在同学的帮助下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认。六、参考文献[1]王金明数字系统设计与VerilogHDL(第四版),北京:电子工业出版社,2012;[2]王金明,数字系统设计与Veri

8、logHDL(第三版),北京:电子工业出版社,2009。东华理工大学课程设计评分表学生姓名:班级:学号:课程设计题目:数控分频器的设计项目内容满分实评选题能结合所学课程知识、有一

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。