eda课程设计 数字式竞赛抢答器

eda课程设计 数字式竞赛抢答器

ID:6458233

大小:149.00 KB

页数:16页

时间:2018-01-14

eda课程设计 数字式竞赛抢答器_第1页
eda课程设计 数字式竞赛抢答器_第2页
eda课程设计 数字式竞赛抢答器_第3页
eda课程设计 数字式竞赛抢答器_第4页
eda课程设计 数字式竞赛抢答器_第5页
资源描述:

《eda课程设计 数字式竞赛抢答器》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、《EDA技术》   课程设计报告            二0一一年06月30日     目录    1课程设计目的………………………………………………………1  2课程设计题目描述和要求…………………………………………1  3课程设计报告内容………………………………………………2 4总结………………………………………………………………14 5参考资料…………………………………………………………14                                                       1.课程设计目的 1、通过课程设计使学生能熟练掌握一种EDA软件(MAX+plus2)的

2、使用方法,能熟练进行设计输入、编译、管脚分配、下载等过程,为以后进行工程实际问题的研究打下设计基础。  2、通过课程设计使学生能利用EDA软件(MAX+plus2)进行至少一个电子技术综合问题的设计,设计输入可采用图形输入法或VHDL硬件描述语言输入法。   3、通过课程设计使学生初步具有分析、寻找和排除电子电路中常见故障的能力。 4、通过课程设计使学生能独立写出严谨的、有理论根据的、实事求是的、文理通顺的字迹端正的课程设计报告。       2.课程设计题目描述和要求    抢答器接通电源后,主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯。抢答开始时,主持人将控制开关

3、拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,这时,抢答器完成以下工作:  (1)优先编码器电路立即分辨出抢答者编号,并由锁存器进行锁存,然后由译码显示电路显示编号; (2)扬声器发出短暂声响,提醒主持人注意;  (3)控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;(4)当选手将问题回答完毕,主持人操作计分开关,计分电路采用十进制加/减计数器、数码管显示。本轮抢答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。                 设计框图   显示 电路   译码   电路   锁存器 优先编   码电路 抢答按钮      报

4、警电路  控制电路   主持人 控制开关     主电路    显示电路   译码电路 计分电路   预制   扩展功能电路    加分减分 图1抢答器总体框图    由主体电路和扩展电路两部分构成,主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成各选手的得分显示功能。         3、课程设计报告内容     3.1总体设计电路         3.2模块设计和相应模块程序 (一)抢答鉴别模块   1、VHDL源程序 libraryieee;--抢答鉴别模块 useieee.std_logic_1164.

5、all; useieee.std_logic_unsigned.all;   entityqdjbis port(rst,clk2:instd_logic;   s0,s1,s2,s3:instd_logic; states:bufferstd_logic_vector(3downto0);  tmp:outstd_logic); endqdjb; architectureoneofqdjbis   signalst:std_logic_vector(3downto0);   begin  p1:process(s0,rst,s1,s2,s3,clk2)     begin ifrst='0

6、'then tmp<='0';st<="0000"; elsifclk2'eventandclk2='1'then if(s0='1'orst(0)='1')andnot(st(1)='1'orst(2)='1'orst(3)='1')thenst(0)<='1';   endif;   if(s1='1'orst(1)='1')andnot(st(0)='1'orst(2)='1'orst(3)='1')thenst(1)<='1';  endif;   if(s2='1'orst(2)='1')andnot(st(0)='1'orst(1)='1'orst(3)='1')thenst(2

7、)<='1'; endif;   if(s3='1'orst(3)='1')andnot(st(0)='1'orst(1)='1'orst(2)='1')thenst(3)<='1'; endif;  tmp<=s0ors1ors2ors3;  endif;  endprocessp1;   p2:process(states(0),states(1),states(2),states(3)) begin  

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。