EDA技术与VHDL设计(西电版)第7章 程序包和子程序.ppt

EDA技术与VHDL设计(西电版)第7章 程序包和子程序.ppt

ID:62381001

大小:1.28 MB

页数:75页

时间:2021-05-01

EDA技术与VHDL设计(西电版)第7章 程序包和子程序.ppt_第1页
EDA技术与VHDL设计(西电版)第7章 程序包和子程序.ppt_第2页
EDA技术与VHDL设计(西电版)第7章 程序包和子程序.ppt_第3页
EDA技术与VHDL设计(西电版)第7章 程序包和子程序.ppt_第4页
EDA技术与VHDL设计(西电版)第7章 程序包和子程序.ppt_第5页
资源描述:

《EDA技术与VHDL设计(西电版)第7章 程序包和子程序.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第7章程序包和子程序7.1程序包7.2子程序7.1程序包经常需要使用的一些设计代码可以以元件、函数或过程的形式出现,然后再放置于程序包中,编译到库中,这是代码重用的重要手段。程序包中可以包含数据类型、常量、元件、函数和过程等。程序包的语句结构如下:   PACKAGE程序包名IS--程序包首    声明部分;   ENDPACKAGE程序包名;   [PACKAGEBODY程序包名IS--程序包体     函数和过程的描述;   ENDPACKAGEBODY程序包名;]程序包一般由程序包首和程序包体构成,二者的程序包名

2、必须一致。在程序包首部分进行常量、数据类型、函数、过程以及元件的声明。程序包体并不是必须的,只有当子程序在程序包首中被声明了,才需要在程序包体中进行描述。包含了程序包的常用VHDL代码结构可扩展为图7-1所示结构。   例7-1例举了一个简单的程序包,仅包含数据类型和常量的声明,因此并不需要程序包体。图7-1VHDL代码结构示意图【例7-1】例7-2例举了一个包含函数声明的程序包,所以需要程序包体。   【例7-2】例7-3例举了一个利用VHDL语言描述的2输入与门,例7-4是将与门作为元件在程序包中声明的示例,例7-

3、5则使用该程序包中的与门元件实现一个三输入逻辑与的电路。如果将程序包保存于当前工程同一文件夹内编译,相当于放入工作库WORK中,则通过使用语句“USEwork.my_component.all;”就可打开该程序包中的所有内容(由于WORK库是默认打开的,所以不需要“LIBRARYwork;”语句)。图7-2是综合后的RTL电路结构,图7-3是仿真结果。【例7-3】【例7-4】【例7-5】图7-2三输入与门综合后RTL电路结构图7-3三输入与门时序仿真波形7.2子程序函数和过程统称为子程序。从结构特征上看,它们与进程十分

4、相似,其内部都只能采用顺序描述语句,如IF语句、CASE语句、LOOP语句。但子程序内部不允许使用WAIT语句,这点与进程不同。其次,从应用的角度来看,进程只能在主代码中使用,不能被其他设计实体调用来实现代码的共享和重用;而子程序既可在主代码中使用,也可通过程序包放入库中使用。相比于前者,放入库中是使得子程序能够被其他设计调用以达到代码重用的目的的更为重要和常用的手段。需要指出的是,每一次调用子程序都将在综合时产生一个硬件电路,这与软件语言的子程序有很大的不同。7.2.1函数的创建和调用函数就是一段顺序描述的代码,在V

5、HDL中有两种函数形式,一是库中预定义的具有特殊功能的预定义函数,如数据类型转换函数、运算符重载函数等;二是用户自定义函数。使用函数需要经过两个步骤:函数的创建和函数的调用。   创建函数(或称为函数体)的语句格式如下:   FUNCTION函数名[参数列表]RETURN数据类型IS   声明语句部分;   BEGIN   顺序语句部分;   ENDFUNCTION函数名;函数的创建中应注意以下几点:   (1)参数列表专指函数的输入参数,所以不需要以显式表示参数的方向。输入参数可以是常量和信号(不能使用变量),具体描

6、述如下:   [CONSTANT]常量名:数据类型;--当参数是常量时,可省略关键词CONSTANT   SIGNAL信号名:数据类型;   参数的个数是任意的,即可以没有、有一个或多个参数。参数的类型是可以被综合的任意一种数据类型,如布尔型、标准逻辑型、整型等,但不能指定它的取值范围(如使用整型时不能使用关键词RANGE来指定范围,使用BIT_VECTOR和STD_LOGIC_VECTOR数据类型时不能使用关键词TO和DOWNTO来指定范围)。(2)函数只有一个返回值,该返回值的数据类型由关键词RETURN后面的数据

7、类型决定。   (3)函数名可以是普通的标识符,也可以是运算符。当函数名采用运算符时,必须加双引号,这就是前面章节中提及的运算符重载。运算符重载是对VHDL中现有运算符进行重新定义,以在原有基础上获得新的功能,具体讲解见7.2.2节。   (4)在VHDL93标准中,结束语句“ENDFUNCTION函数名;”中的关键词FUNCTION和函数名都是可以省略的。   (5)函数内不能声明信号和元件。例7-6是一个函数的创建示例。函数名是max,有a、b两个输入参数,其数据类型均为STD_LOGIC_VECTOR。a、b缺省

8、数据类型的定义,默认为常量。返回值是数据a和b中的最大值,其数据类型也是STD_LOGIC_VECTOR。由于不能限制数据的取值范围,因此对数据类型STD_LOGIC_VECTOR没有使用关键词DOWNTO或TO来约束参数的取值范围。【例7-6】   FUNCTIONmax(a,b:STD_LOGIC_VECTOR)RETURNS

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。