李国龙-总线数据传输实验报告.docx

李国龙-总线数据传输实验报告.docx

ID:61954950

大小:2.95 MB

页数:8页

时间:2021-04-01

李国龙-总线数据传输实验报告.docx_第1页
李国龙-总线数据传输实验报告.docx_第2页
李国龙-总线数据传输实验报告.docx_第3页
李国龙-总线数据传输实验报告.docx_第4页
李国龙-总线数据传输实验报告.docx_第5页
李国龙-总线数据传输实验报告.docx_第6页
李国龙-总线数据传输实验报告.docx_第7页
李国龙-总线数据传输实验报告.docx_第8页
资源描述:

《李国龙-总线数据传输实验报告.docx》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、总线数据传输实验报告计科1402班201408010211李国龙一、实验原理及实验目的实验目的:实现两个寄存器中的数值的互换。实验原理图:二、实验步骤1.建立工程文件,设计电路原理图如下:2.编译正确后建立波形文件,需要添加节点,并适当合并,设置参数:Endtime:2us,Gridsize:100ns。一步一步输入数据进行仿真,得出正确的仿真结果。CLK:时钟信号,K:输入,LDDR:三个寄存器的输入开关,SWR321_BUS:总线开关以及三个寄存器的输出开关,L,L~result:总线输出结果。首先输

2、入数据78给R1寄存器,再输入数据54给R2寄存器,再利用中转站R3寄存器进行R1和R2寄存器中数据的交换,R1->R3,R2->R1,R3->R2,注意输入开关和输出开关以及总线开关的开和闭,结果均正确。1.选择菜单Assignments->PinPlanner进行管脚分配。选择菜单Processing->CompilerTool命令,单击Start执行全编译,更新.sof下载文件。1.将文件下载到电路板上进行试验,结果正确。初始化:传数据给R1寄存器:传数据给R2寄存器:将R1寄存器中的数传给R3寄存

3、器:将R2寄存器中的数传给R1寄存器:将R3寄存器中的数传给R2寄存器:互换后R1寄存器中的数:互换后R2寄存器中的数:可见,互换成功。一、实验日志和心得体会思考题:(1)总线数据传输的基本特性是什么?答:总线的基本特性是不允许挂在总线上的多个部件同时向总线发出信息;但是,允许挂在总线上的多个部件同时从总线上接受信息。(2)从74374和74244内部电路结构图上说明它们的逻辑功能。答:74244的输出输入间连接了三态传输门,当控制信号1GN有效时(0)输出即为高阻态,无效时输出的值即为输入值。从7437

4、4的内部电路图可知,其实就是再普通的数据寄存器的输出端加上了三态门控制。三态控制端有效时(0)输出端始终为高阻态;无效时逻辑功能同8位数据寄存器。(1)实验电路中的BIDIR端口的用途是什么?答:作为双向传输总线(2)举例说明电路图中连线busline(粗线)和node(细线)的区别。总线与支线的命名方式是什么?答:nodeline是单根线,某一时刻只会有一个逻辑值;busline是多条nodeline的捆绑集合。比如,总线名为name[a..b],支线名为name[k]。(3)实验需要互换R1和R2的数

5、据,但是电路图中的R3连线有问题,错在哪里?为什么?答:R3只有现实端口LE输出而没有写入总线L的输出,若果没有写入总线L的输出的话就不能往总线写数据也即不能将数据写到别的寄存器继而影响到数据交换。实验总结:1.在对实验原理掌握的情况下可以比较容易的画出电路图,仿真时在同学的指导下一步一步进行,最终得出了正确的结论。2.在下载到电路板上操作时出现了故障,在进行寄存中的数互换时出现L控制的灯不亮的情况,原因是没有按照正确的顺序对输入开关和输出开关进行开和闭,几次实验后得到了正确的结果。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。