李国龙-201408010211-倒车雷达模块7

李国龙-201408010211-倒车雷达模块7

ID:39203404

大小:78.50 KB

页数:4页

时间:2019-06-27

李国龙-201408010211-倒车雷达模块7_第1页
李国龙-201408010211-倒车雷达模块7_第2页
李国龙-201408010211-倒车雷达模块7_第3页
李国龙-201408010211-倒车雷达模块7_第4页
资源描述:

《李国龙-201408010211-倒车雷达模块7》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、M7实验报告实验日期:2015年12月学号:201408010211姓名:李国龙实验名称:声音的控制信号的产生总分:IV.1实验设计方案控制信号00030-60cmØ实验框图:控制信号00160-100cm音频信号发生器,音量调节器距离(30-250cm)100-150cm控制信号010控制信号011150-200cm控制信号100200-250cmØ文字说明:将距离分成五组,用八位二进制数表示,当第三步的距离送来时,会产生相应的控制信号,然后将这个控制信号送给音频信号发生器,音量调节器,之后喇叭发出一定响度的声音。Ø实验原理ESDDA-III型试验箱上有一个交流蜂鸣器与芯片相

2、连接,只要输入一定频率的脉冲就会发出声音。Ø依据产品规格设计该模块的具体参数报警音量: 70dB(含)-90dB(含)工作温度范围: -40℃-80℃测试距离: 2.5M-0.3MIV.2功能验证Ø实现代码:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entitymokuai_7isport(clk:instd_logic;distance:instd_logic_vector(7downto0);control:outstd_

3、logic_vector(2downto0));end;architecturebeepofmokuai_7isbeginprocess(clk,distance)beginif(clk'eventandclk='1')thenif(distance<"00111100")then--60control<="000";elsif(distance<"01100100")then--100control<="001";elsif(distance<"10010110")then--150control<="010";elsif(distance<"11001000")then--

4、200control<="011";elsecontrol<="100";endif;endif;endprocess;endbeep;Ø波形图:Ø波形描述:从波形图可以看出,当时钟信号由低电平上升到高电平时开始起作用,从00000000到00111100,control为000,从00111101到01100100,control为001,从01100101到10010110,control为010,从10010111到11001000,control为011,其他control为100,成功实现了五组控制信号的产生。Ø数据记录参数设置:GridSize:100ns,EndT

5、ime:25us。Ø仿真结论仿真结果中,只有当时钟信号由低电平上升到高电平时开始起作用,成功地由五组不同距离得到五组不同的控制信号,达到了预期效果,波形仿真逻辑功能验证正确。IV.3硬件验证Ø芯片分配:Cyclone-EP1C12Q240C8.Ø硬件验证结论:对于不同范围的距离,喇叭的确能发出不同的声音,结果正确。IV.4实验日志2015年12月7日Q1.不知道自己要做的是什么?A1.依据不同倒车距离能选择产生相应五组控制信号。2015年12月7日Q1.总是以为喇叭发出声音这一过程需要自己写代码实现。A1.自己只需要产生控制信号,剩下的结合音频信号发生器和音量调节器交给喇叭处理

6、即可。2015年12月9日Q1.忽略了高电平有效这一条件。A1.加入了if(clk'eventandclk='1')then作为判断。2015年12月9日Q1.不知道距离以及控制信号的设置。A1.距离采用八位二进制,控制信号采用三位二进制。2015年12月16日Q1.模块的合并不是简单的相加。A1.经过一系列整合得到最终的结果。IV.5实验总结本次实验是软硬件结合的实验,一开始做会感到不知所措,不知道要做些什么,加上自己对VHDL和硬件不熟悉,会烦躁,在同学的帮助下了解了自己的任务内容,成功的完成了一些任务,感觉还是蛮困难的。通过本次实验还是学到了一些东西,进一步熟悉了VHDL

7、,对仿真验证更加熟悉,对硬件部分有了初步的了解,感受到了分工合作的好处以及完成时的喜悦,感觉还好。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。