实验三-译码器与编码器的设计与仿真.docx

实验三-译码器与编码器的设计与仿真.docx

ID:59524638

大小:618.87 KB

页数:7页

时间:2020-11-07

实验三-译码器与编码器的设计与仿真.docx_第1页
实验三-译码器与编码器的设计与仿真.docx_第2页
实验三-译码器与编码器的设计与仿真.docx_第3页
实验三-译码器与编码器的设计与仿真.docx_第4页
实验三-译码器与编码器的设计与仿真.docx_第5页
资源描述:

《实验三-译码器与编码器的设计与仿真.docx》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验三译码器与编码器的设计与仿真一、实验目的:实现译码器与编码器的设计与仿真。二、实验内容1.用逻辑图设计3-8译码器,再用VHDL语言设计参数化的译码器;2.参照芯片74LS148的电路结构,用逻辑图和VHDL语言设计8-3优先编码器。三、实验步骤。(一)、8-3编码器、3-8译码器的逻辑图及逻辑表达式。1.8-3编码器:逻辑框图:逻辑图:2.3-8译码器:2.3-8译码器:逻辑框图:逻辑图:(二)用VHDL语言设计8-3编码器、参数化的译码器。1.8-3编码器:libraryieee;useieee.std_logic_116

2、4.all;entitybianmaqiisport(clk,clr:instd_logic;d:instd_logic_vector(7downto0);q:outstd_logic_vector(2downto0));endbianmaqi;architectureyofbianmaqiisbeginprocess(d)begincasediswhen""=>q<="000";when""=>q<="001";when""=>q<="010";when""=>q<="011";when""=>q<="100";when""=>

3、q<="101";when""=>q<="110";when""=>q<="111";whenothers=>q<="111";endcase;endprocess;endy;2.3-8译码器:libraryIEEE;useIEEE.std_logic_1164.all;entityyimaqiisport(A:instd_logic_vector(2downto0);S1,S2,S3:instd_logic;Y:outstd_logic_vector(7downto0));endentity;architectureyimaqi

4、ofyimaqiissignals:std_logic_vector(2downto0);beginS<=S1&S2&S3;process(A,S)beginY<=(others=>'1');ifS="100"thencaseAiswhen"000"=>Y<="";--0when"001"=>Y<="";--1when"010"=>Y<="";--2when"011"=>Y<="";--3when"100"=>Y<="";--4when"101"=>Y<="";--5when"110"=>Y<="";--6when"111"=>Y

5、<="";--7whenothers=>NULL;endcase;endif;endprocess;endarchitecture;四、实验仿真结果。1.8-3编码器:2.3-8译码器:五、总结。通过这次实验,真实感受到做仿真时合理的将同类型输入输出合并的好处。但是合并时需要注意合并顺序,比如是从大到小,从小到大。经常忘记改变它们的顺序。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。