Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt

Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt

ID:59475816

大小:2.74 MB

页数:44页

时间:2020-09-14

Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt_第1页
Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt_第2页
Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt_第3页
Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt_第4页
Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt_第5页
资源描述:

《Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、Xilinx公司ISE10.1软件介绍及整体开发流程张建2015.4.1Xilinx公司ISE10.1软件介绍--主要功能ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了可编程逻辑器件开发的全过程,从功能上讲,完成CPLD/FPGA的设计流程无需借助任何第三方EDA软件。下面简要说明各功能的作用:1、设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(TheISETextEditor),用于原理图编辑的工具ECS(TheEngineeringCaptureSystem),用于生成IPCore的CoreGenerator,用于状态

2、机设计的StateCAD以及用于约束文件编辑的ConstraintEditor等。Xilinx公司ISE10.1软件介绍--主要功能2、综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST(XilinxSynthesisTechnology),同时还可以内嵌MentorGraphics公司的LeonardoSpectrum和Synplicity公司的Synplify,实现无缝链接。3、仿真:ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDLBencher,同时又提供了使用ModelTech公司的Modelsim进行仿真的接口。Xilinx公司ISE1

3、0.1软件介绍--主要功能4、实现:此功能包括了翻译、映射、布局布线等,还具备时序分析、管脚指定以及增量设计等高级功能。5、下载:下载功能包括了BitGen,用于将布局布线后的设计文件转换为位流文件,还包括了IMPACT,功能是进行芯片配置和通信,控制将程序烧写到FPGA芯片中去。Xilinx公司ISE10.1软件介绍--主界面Xilinx公司ISE10.1软件介绍--源文件(source)子窗口这个窗口有三个标签:源(Source)、Snapshots(快照)、Library(库)。源标签内显示工程名、指定的芯片和设计相关文档。在设计视图的每一个文件都有一个相关的图标,这

4、个图标显示的是文件的类型(HDL文件、原理图、IP核和文本文件)。‘+’表示该设计文件包含了更低层次的设计模块。标签内显示的是目前所打开文件快照。一个快照是在该工程里所有文件的一个拷贝。通过该标签可以察看报告、用户文档和源文件。该标签下所有的信息只读。库标签内显示与当前工程相关的库。Xilinx公司ISE10.1软件介绍--处理(process)子窗口在该窗口只有一个处理标签。该标签有下列功能:添加已有文件;创建新文件;察看设计总结(访问符号产生工具,例化模板,察看命令行历史和仿真库编辑);用户约束文件(访问和编辑位置和时序约束);综合(检查语法、综合、察看RTL和综合报告

5、);设计实现(访问实现工具,设计流程报告和其它一些工具);产生可编程文件(访问配置工具和产生比特流文件)。Xilinx公司ISE10.1软件介绍--脚本(transcript)子窗口脚本子窗口有5个默认标签:Console,error,warnings,Tclshell,findinfile。Console标签显示错误、警告和信息。X表示错误,!表示警告。Warning标签只显示警告消息。Error标签只显示错误消息。Tclshell标签是与设计人员的交互控制台。除了显示错误、警告和信息外,还允许输入ISE特定命令。Findinfile标签显示的是选择Edit>Findin

6、File操作后的查询结果。Xilinx公司ISE10.1软件介绍--工作区(Workspace)子窗口工作区子窗口提供了设计总结、文本编辑器、ISE仿真器/波形编辑器、原理图编辑器功能。设计总结提供了关于该设计工程的更高级信息,包括信息概况、芯片资源利用报告、与布局布线相关性能数据、约束信息和总结信息等。源文件和其它文本文件可以通过设计人员指定的编辑工具打开。编辑工具的选择由Edit->Preference属性决定,默认ISE的文本编辑器,通过该编辑器可以编辑源文件和用户文档,也可以访问语言模板。Xilinx公司ISE10.1软件介绍--整体工作流程创建工程行为仿真综合管脚

7、约束实现时序仿真生成bit流文件下载Xilinx公司ISE10.1软件设计流程介绍--打开ISE软件时的面板当以前使用过该软件时会默认打开上一个工程。Xilinx公司ISE10.1软件设计流程介绍--创建一个新工程Xilinx公司ISE10.1软件设计流程介绍--创建一个新工程选择芯片类型选择综合工具选择仿真工具选择代码语言这里可以新建一个文件,也可以在工程属性建立完成后在工程内新建,我们选择NextXilinx公司ISE10.1软件设计流程介绍--创建一个新工程这里可以添加工程文件,也可以在工程建立后添加,我们选

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。