Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt

Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt

ID:59413696

大小:1.36 MB

页数:38页

时间:2020-09-19

Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt_第1页
Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt_第2页
Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt_第3页
Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt_第4页
Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt_第5页
资源描述:

《Xilinx公司ISE101软件及简单设计流程介绍ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、Xilinx公司ISE10.1软件介绍--ISE主界面Xilinx公司ISE10.1软件介绍--ISE主界面窗口功能概述1)左上角的窗口是源文件窗口,设计工程所包括的文件以分层的形式列出。2)在该子窗口的下面是处理窗口,该窗口描述的是对于选定的设计文件可以使用的处理流程。3)在ISE主界面最下面是脚本窗口,在该窗口中显示了消息、错误和警告的状态。同时还有Tcl脚本的交互和文件中查找的功能。4)在ISE的右上角是多文档的窗口,在该窗口可以查看html的报告,ASCII码文件、原理图和仿真波形。通过选择View->RestoreDefaultLayou

2、t可以恢复界面的原始设置。Xilinx公司ISE10.1软件介绍--源文件(source)子窗口这个窗口有三个标签:源(Source)、Snapshots(快照)、Library(库)。源标签内显示工程名、指定的芯片和设计相关文档。在设计视图的每一个文件都有一个相关的图标,这个图标显示的是文件的类型(HDL文件、原理图、IP核和文本文件)。‘+’表示该设计文件包含了更低层次的设计模块。Xilinx公司ISE10.1软件介绍--处理(process)子窗口在该窗口只有一个处理标签。该标签有下列功能:添加已有文件;创建新文件;察看设计总结(访问符号产生

3、工具,例化模板,察看命令行历史和仿真库编辑);用户约束文件(访问和编辑位置和时序约束);综合(检查语法、综合、察看RTL和综合报告);设计实现(访问实现工具,设计流程报告和其它一些工具);产生可编程文件(访问配置工具和产生比特流文件)。Xilinx公司ISE10.1软件介绍--脚本(transcript)子窗口脚本子窗口有5个默认标签:Console,error,warnings,Tclshell,findinfile。Console标签显示错误、警告和信息。X表示错误,!表示警告。Warning标签只显示警告消息。Error标签只显示错误消息。T

4、clshell标签是与设计人员的交互控制台。除了显示错误、警告和信息外,还允许输入ISE特定命令。Xilinx公司ISE10.1软件设计流程介绍--创建一个新工程选择芯片选择综合工具选择仿真工具选择代码语言NextXilinx公司ISE10.1软件设计流程介绍--创建一个新工程这里可以新建一个文件,也可以在工程属性建立完成后在工程内新建,我们选择NextXilinx公司ISE10.1软件设计流程介绍--创建一个新工程这里可以添加工程文件,也可以在工程建立后添加,我们选择NextXilinx公司ISE10.1软件设计流程介绍--创建一个新工程给出了整

5、个工程大致属性FinishXilinx公司ISE10.1软件设计流程介绍--创建一个新工程完成后在Sources窗口中显示工程文件夹以及工程所用芯片。在该窗口中右键可以新建文件,添加已经写好的文件,添加文件并复制该文件到工程文件夹中。Xilinx公司ISE10.1软件设计流程介绍--创建一个新工程该文件的实体名新建文件的类型,不同的类型有着不同的功能和意义。Xilinx公司ISE10.1软件设计流程介绍--创建一个新工程端口名端口的类型及位数NextXilinx公司ISE10.1软件设计流程介绍--添加实体端口给出了该文件的概要FinishXili

6、nx公司ISE10.1软件设计流程介绍--添加实体端口Xilinx公司ISE10.1软件设计流程介绍--添加实体端口双击gate文件自动生成实体结构生成了结构体框架只需加入逻辑语句即可Xilinx公司ISE10.1软件设计流程介绍--自动生成文件结构框架添加的逻辑代码--之后为注释语句Xilinx公司ISE10.1软件设计流程介绍--添加代码及注释设计中常用的四个操作:综合、实现、生成bit文件、下载这个图标指示顶层文件选中顶层文件,Processes窗口中给出能操作的项目双击XST进行综合操作Xilinx公司ISE10.1软件设计流程介绍Next

7、Xilinx公司ISE10.1软件设计流程介绍--添加波形仿真文件选择所要仿真的VHDL文件NextXilinx公司ISE10.1软件设计流程介绍--添加波形仿真文件给出该波形文件的相关属性FinishXilinx公司ISE10.1软件设计流程介绍--添加波形仿真文件仿真波形的设置界面:这里显示的主要是时钟方面的设置。Xilinx公司ISE10.1软件设计流程介绍--添加波形仿真文件增对该工程设置方式如图波形文件长度的设置FinishXilinx公司ISE10.1软件设计流程介绍--添加波形仿真文件在这里设置输入波形可以进行窗口的切换Xilinx公

8、司ISE10.1软件设计流程介绍--添加波形仿真文件切换到行为仿真如图设置输入波形并保存选中刚设计波形文件Processe

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。