电信本EDA技术C卷试标准答案及评分标准.doc

电信本EDA技术C卷试标准答案及评分标准.doc

ID:59258003

大小:105.50 KB

页数:5页

时间:2020-09-08

电信本EDA技术C卷试标准答案及评分标准.doc_第1页
电信本EDA技术C卷试标准答案及评分标准.doc_第2页
电信本EDA技术C卷试标准答案及评分标准.doc_第3页
电信本EDA技术C卷试标准答案及评分标准.doc_第4页
电信本EDA技术C卷试标准答案及评分标准.doc_第5页
资源描述:

《电信本EDA技术C卷试标准答案及评分标准.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、一、填空题(15分,每空1分)1、82、ieee.std_logic_11643、ieee,work,std4、:=5、if,case,wait(loop)6、并行7、进程(process),敏感信号8、无关9、实体,结构体(构造体)二、选择题(共15分,每空1分)1~5ADBBC6~10DDBCD11~15ABAAB三、上机编程题(共70分)统一标准:程序功能错误,不完整,编译不通过程序分值全扣,如时序仿真错误,或者无仿真文件,则分值全扣1、设计4位的数据选择器mux.(15分)评分标准:程序10分,仿真结果5分。如仿真结果不正确,程序不正确,则整道题不得分;如仿真结果不正确或没有仿真结果

2、,但程序正确,编译正确,程序单独给10分。参考程序libraryieee;useieee.std_logic_1164.all;entitydataselectisport(a,b,c,d:instd_logic;sel:instd_logic_vector(1downto0);x:outstd_logic);enddataselect;architecturebhvofdataselectisbeginwithselselectx<=awhen"00",bwhen"01",cwhen"10",dwhen"11",nullwhenothers;endbhv;仿真结果:2、设计一个24进制的计

3、数器,其中clk为时钟输入信号,rst为异步复位信号(高电平有效),,counter为输出信号。(15分)评分标准:程序10分,仿真结果5分。如仿真结果不正确,程序不正确,则整道题不得分;如仿真结果不正确或没有仿真结果,但程序正确,编译正确,程序单独给10分。参考程序libraryieee;useieee.std_logic_1164.all;entitycounter24isport(clk,rst:instd_logic;counter:outintegerrange0to24);endcounter24;architecturebhvofcounter24isbeginprocess(

4、clk,rst)variabletemp:integerrange0to24;beginifrst='1'thentemp:=0;elsifclk'eventandclk='1'thentemp:=temp+1;iftemp=24thentemp:=0;endif;endif;counter<=temp;endprocess;endbhv;仿真图:3、编写5位的移位寄存器,实现数据的串入-串出,器件封装图如下图所示。(20分)评分标准:程序12分,仿真8分。评分细则:移位寄存器设计成了6位,位数不对,且编译和仿真为6位,则程序扣2分,仿真扣2分参考程序:libraryieee;useieee

5、.std_logic_1164.all;entityljyisgeneric(n:integer:=4);port(din,clk,rst:instd_logic;dout:outstd_logic);endljy;architecturebhvofljyissignala:std_logic_vector(n-1downto0);beginprocess(clk)beginif(rst='1')thena<=(others=>'0');elsif(clk'eventandclk='1')thena<=din&a(a'leftdownto1);endif;endprocess;dout<=a

6、(0);endbhv;4、设计序列检测器。要求当检测器连续收到一组4位串行码(0110)后,输出为1,否则输出为0。序列检测器的I/O口定义为:DIN是串行输入端,DOUT是输出端。(20分)评分标准:程序12分,仿真结果8分评分细则:程序编译通过,程序功能不完整无时钟,扣2分时序仿真通过,仿真结果不完整,扣2分参考程序:libraryieee;useieee.std_logic_1164.all;entityb4isport(clk,din:instd_logic;dout:outstd_logic);endb4;architecturebhvofb4istypestateis(s0,s1

7、,s2,s3,s4);signalp_s,n_s:state;beginprocess(clk)beginif(rst=’1’)thenp_s<=s0;elsifclk'eventandclk='1'thenp_s<=n_s;endif;endprocess;process(din,p_s)begincasep_siswhens0=>dout<='0';ifdin='0'thenn_s<=s1;elsen_s

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。