QuartusII软件的使用ppt课件.ppt

QuartusII软件的使用ppt课件.ppt

ID:58880146

大小:1.37 MB

页数:65页

时间:2020-09-30

QuartusII软件的使用ppt课件.ppt_第1页
QuartusII软件的使用ppt课件.ppt_第2页
QuartusII软件的使用ppt课件.ppt_第3页
QuartusII软件的使用ppt课件.ppt_第4页
QuartusII软件的使用ppt课件.ppt_第5页
资源描述:

《QuartusII软件的使用ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第3章EDA工具软件的使用及设计流程§3.1QuartusII的使用及设计流程§3.2QuartusII设计正弦信号发生器§3.3Max+plusII使用及设计流程3.1QuartusII的使用及设计流程3.1.1QuartusII的图形编辑输入法编辑设计文件(1)建立一个工程文件。(2)新建一个图形编辑文件(3)选择元件(4)编辑图形文件2.编译设计文件3.仿真设计文件(1)建立波形文件(2)输入信号结点(3)设置波形参量(4)编辑输入信号(5)波形文件保存(6)运行仿真器4.编程下载设计文件(1)引脚锁定锁定引脚后还需要对设计文件重

2、新编译,产生设计电路的下载文件(.sof)。(2)编程下载设计文件3.1.2QuartusII的文本编辑输入法3.2设计正弦信号发生器3.2.1创建工程和编辑设计文件1.编辑设计文件(1)新建一个文件夹。(2)输入源程序。(3)文件存盘。【例3.1】正弦信号发生器VHDL程序LIBRARYIEEE;--正弦信号发生器源文件USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYSIN_GTISPORT(CLK:INSTD_LOGIC;--信号源时钟DOUT:OUTS

3、TD_LOGIC_VECTOR(7DOWNTO0)ENDSINGT;ARCHITECTUREDACCOFSIN_GTISCOMPONENTdataromPORT(address:INSTD_LOGIC_VECTOR(5DOWNTO0);inclock:INSTD_LOGIC;q:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDCOMPONENT;SIGNALQ1:STD_LOGIC_VECTOR(5DOWNTO0);--设定内部节点作为地址计数器BEGINPROCESS(CLK)--LPM_ROM地址发生器进程BEG

4、INIFCLK'EVENTANDCLK='1'THENQ1<=Q1+1;--Q1作为地址发生器计数器ENDIF;ENDPROCESS;u1:dataromPORTMAP(address=>Q1,q=>DOUT,inclock=>CLK);--例化END;2.创建工程(2)将设计文件加入工程中选择目标芯片结束设置3.2.2编译1.编译前设置(1)选择目标芯片。(2)选择目标器件编程配置方式(3)选择输出配置2.编译及了解编译结果3.2.3正弦信号数据ROM定制设计ROM初始化数据文件初始化数据文件格式有2种:MemoryInitializ

5、ationFile(.mif)格式文件,或Hexadecimal(Intel-Format)File(.hex)格式。(1)建立.mif格式文件(2)建立.hex格式文件。建立.hex格式文件的文件有两种方法,第一种方法与以上介绍的方法相同,只是在New窗中选择“Otherfiles”项,后,选择“Hexadecimal(Intel-Format)File”项,最后存盘.hex格式文件2.定制ROM元件(1)设置初始对话框2、选择ROM控制线和地址、数据线。选择数据文件完成将生成的datarom.vhd和datarom.cmp文件添加进

6、工程中(3)编译。(4)阅读编译报告。(4)用寄存器传输级查看器,看综合的结果。3.2.4仿真(1)打开波形编辑器。3.2.5测试引脚映射编程和下载使用逻辑分析仪分析

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。