QuartusII软件及应用.ppt

QuartusII软件及应用.ppt

ID:48082757

大小:1003.00 KB

页数:48页

时间:2020-01-12

QuartusII软件及应用.ppt_第1页
QuartusII软件及应用.ppt_第2页
QuartusII软件及应用.ppt_第3页
QuartusII软件及应用.ppt_第4页
QuartusII软件及应用.ppt_第5页
资源描述:

《QuartusII软件及应用.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第3章QuartusII软件及应用3.1QuartusII概述3.2QuartusII使用流程3.3图形文件输入3.4文本文件输入3.5层次化设计3.6LPM的使用64Altera公司最新版本的EDA开发软件,支持新器件,支持百万门级的设计,具有更强的设计能力和更快的编译速度。QuartusII开发软件为可编程片上系统(SOPC)设计提供了一个完整的设计环境。无论是使用个人电脑、NUIX或Linux工作站,QuartusII都提供了方便设计、快速编译处理以及编程功能。QuartusII3.0支持Altera公司的各种可编程逻辑器件,包括:ACEX、APEX、ARM-basedEx

2、calibur、Cyclone、FLEX、HardCopyStratix、MAX、Mercury和Stratix等系列。3.1QuartusII概述3.1.1QuartusII3.0支持的第三方工具1.综合工具MentorGraphics®LeonardoSpectrum™-Altera®version2002f*MentorGraphicsLeonardoSpectrumversion2003b*Synopsys®DesignCompilerversion2002.02SynopsysFPGACompilerIIversion3.7*SynopsysPrecisionRTLSy

3、nthesisversion2003b*SynplicitySynplifyandSynplifyProversion7.3*AplusDesignTechnologies(ADT)PALACEversion2.3*2.校验工具CadenceNC-Verilogversion5.0*CadenceNC-VHDLversion5.0*CadenceVerilog-XLversion3.3ModelTechnology™ModelSim®version5.7c*ModelTechnologyModelSim-Alteraversion5.6a*MentorGraphicsBLASTv

4、ersion1.2.2MentorGraphicsTauversion2.2SynopsysPrimeTimeversion2000.05*SynopsysSciroccoversion2000.12*SynopsysVSSversion2000.05SynopsysVCSversion7.0VerplexConformalLECversion3.4.0.a3.1.2QuartusII3.0的安装1.安装(1)插入QuartusII3.0安装光盘,运行安装程序setup.exe,出现安装界面。(2)选择InstallQuartusIIandrelatedsoftware,按照屏幕

5、上的提示操作即可。用鼠标左键双击QuartusII3.0图标或在Windows界面的开始菜单内选择程序项中的QuartusII3.0项,运行QuartusII,打开管理器窗口,如图所示。项目导航窗口状态窗口消息窗口项目导航窗口快捷按钮消息窗口快捷按钮状态窗口快捷按钮2.启动QuartusII为了保证QuartusII3.0能正常运行,还必须安装硬件狗和设置license.dat文件。否则仿真和下载等功能将被禁用。查找license文件(1)在QuartusII3.0管理器界面中选择ToolLicenseSetup…,点击“...”按钮,在出现的对话框中选择License.dat

6、文件或直接输入带全路径名的文件名,如图5-38所示。(2)设置License.dat文件后,关闭对话框,回到QuartusII管理器界面进入使用流程。3.首次运行QuartusII3.03.2QuartusII使用流程3.2.1设计输入设计输入、设计处理、波形仿真和器件编程1.建立设计项目项目路径项目名顶层实体名(1)在管理器窗口中选择菜单File/NewProjectWizard...,出现新建项目向导NewProjectWizard对话框,输入项目目录、项目名称和顶层实体。(2)点击Next按钮,出现NewProjectWizard第二页,添加文件对话框。点击按钮“...”,

7、可添加与该项目有关的所有文件到当前项目(包括第三方EDA工具输入文件)查找文件添加文件到列表(3)点击Next按钮,在NewProjectWizard第四页选择目标器件系列,在第五页选择目标器件的封装形式、引脚数目和速度级别。(4)点击Finish按钮,项目exam_dff出现在项目导航窗口中,如图5-42所示。双击文件名,即可打开文件。当前项目2.输入原理图文件QuartusII提供了模块编辑器,通过模块编辑器可以建立、编辑和保存原理图文件。打开模块编辑器的方法是选择菜单Fil

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。