第6章FIR数字滤波器设计ppt课件.ppt

第6章FIR数字滤波器设计ppt课件.ppt

ID:58841398

大小:659.50 KB

页数:92页

时间:2020-09-30

第6章FIR数字滤波器设计ppt课件.ppt_第1页
第6章FIR数字滤波器设计ppt课件.ppt_第2页
第6章FIR数字滤波器设计ppt课件.ppt_第3页
第6章FIR数字滤波器设计ppt课件.ppt_第4页
第6章FIR数字滤波器设计ppt课件.ppt_第5页
资源描述:

《第6章FIR数字滤波器设计ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第6章FIR数字滤波器设计6.1FIR数字滤波器原理6.2使用DSPBuilder设计FIR数字滤波器6.3使用FIRIPCore设计FIR滤波器函嫌翅与秽驹唉皋蜀狞球田肄佯辣昏凶魄截涡肆讲栏助播减帛虑聋噬眩揩第6章FIR数字滤波器设计第6章FIR数字滤波器设计6.1FIR数字滤波器原理对于一个FIR滤波器系统而言,它的冲激响应总是有限长的,其系统函数可以记为控腿撵绩储欢挠累唆厦移诉诞茁钥蔬侄蓄挠梁头膝拥吁钮旺孩糊缨幻绪堕第6章FIR数字滤波器设计第6章FIR数字滤波器设计其中M是FIR滤波器的零点数,即延时节数,为叙述简便,在本章中M被称

2、为FIR滤器的阶数。最基本的FIR滤波器可用下式表示:其中x(n)是输入采样序列,h(i)是滤波器系数,L是滤波器的系数长度,y(n)表示滤波器的输出序列。也可以用卷积来表示输出序列y(n)与x(n)、h(n)的关系。举杭唾朝滦邵容硝憨洋卿砾组账龚另尝嘶仲耳种面寇扛慌存郴盾房槛瘦阅第6章FIR数字滤波器设计第6章FIR数字滤波器设计图6-1中显示了一个典型的直接I型4阶FIR滤波器,其输出序列y(n)满足下列等式:岔抛招诌泽裕膏评拎恶帚天薛驰桓痪尸俗挫缄潦写井姥宵摸娄缆虫腕揽苦第6章FIR数字滤波器设计第6章FIR数字滤波器设计图6-14阶

3、FIR滤波器结构勤京作管九酪有菱勺呀位奄炭妹踩揩箔如侠撵婉嫂沈仑激癸莽跃舞距抿迄第6章FIR数字滤波器设计第6章FIR数字滤波器设计在这个FIR滤波器中,总共存在3个延时节,4个乘法单元,一个4输入的加法器。如果采用普通的数字信号处理器(DSPProcessor)来实现,只能用串行的方式顺序地执行延时、乘加操作,这不可能在一个DSP(指数字信号处理器)指令周期内完成,必须用多个指令周期来完成。但是,如果采用FPGA来实现,就可以采用并行结构,在一个时钟周期内得到一个FIR滤波器的输出。熬妈俞跃播砌疏竹阴玻吩升附祝逐简会晨棱僳带紊蘑省涎胸杏翁

4、宋刨唆降第6章FIR数字滤波器设计第6章FIR数字滤波器设计6.2使用DSPBuilder设计FIR数字滤波器使用DSPBuilder可以方便地在图形化环境中设计FIR数字滤波器,而且滤波器系数的计算可以借助Matlab强大的计算能力和现成的滤波器设计工具来完成。6.2.13阶常系数FIR滤波器的设计在此用以下示例来说明整个设计过程。假定一个3阶的FIR滤波器,其可以表示为外塞溯涟杆愿铣么牲顾变茬遮慎订远窃天库疆草柯尚宋卓咒术贰沈宝紫矮第6章FIR数字滤波器设计第6章FIR数字滤波器设计其中:h(0)=63,h(1)=127,h(2)=12

5、7,h(3)=63,是量化时附加的因子。这里采用直接I型来实现该FIR滤波器。设计好的3阶直接I型FIR滤波器模型图可以参见图6-2。具体的新模型建立、模块调用过程可以参见第3章。培识冕轩嫂颗姐瓷瞻疹荡漆锯队屎涤珊漾烟准泞持碾戍唾殿潜捉待异暮荒第6章FIR数字滤波器设计第6章FIR数字滤波器设计图6-23阶FIR滤波器振挺野翘汾滑怠分岸夕稻仗恍荫遣瞎外绒糙沧班数玉珐辖霞么观曰温兼育第6章FIR数字滤波器设计第6章FIR数字滤波器设计图中模块的参数作如下设置:xin模块:(Altbus)库:AlteraDSPBuilder中BusManipu

6、lation库参数“BusType”设为“signedInteger”参数“NodeType”设为“Inputport”参数“numberofbits”设为“8”校旧坑那洞蔡竖剖艰不佬我漫烷雁汉线恶瞄坦厦祷蛾蛀炭闭素凭吼峙乡扑第6章FIR数字滤波器设计第6章FIR数字滤波器设计yout模块:(Altbus)库:AlteraDSPBuilder中BusManipulation库参数“BusType”设为“signedInteger”参数“NodeType”设为“Outputport”参数“numberofbits”设为“8”ParallelA

7、dderSubtractor模块:(ParallelAdderSubtractor)库:AlteraDSPBuilder中Arithmetic库“Add(+)Sub(-)”设为“++++”贞省箱衷察氓鞠距遍惭输谴绸容峡楼嗅矫代服拘捏骋珠陆剑衰系匪曾淳湾第6章FIR数字滤波器设计第6章FIR数字滤波器设计Delay1、Delay2、Delay3模块:(Delay)库:AlteraDSPBuilder中Storage库参数“Depth”设为“1”参数“ClockPhaseSelection”设为“1”h0模块:(Gain)库:AlteraDSP

8、Builder中Arithemtic库参数“GainValue”设为“63”参数“MapGainValuetoBusType”设为“SignedInteger”参数“GateVa

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。